close
1.

図書

図書
editors, K.B. Sundaram ... [et al.]
出版情報: Pennington, N.J. : Electrochemical Society, c2001  x, 286 p. ; 23 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2001-7
所蔵情報: loading…
目次情報: 続きを見る
Preface
Organizers
Oxide Wear-Out, Reliability, Stress and Interfaces / I:
A Review of Oxide Wearout, Breakdown, and Reliability / D. Dumin
Surface And Interface Study Of Ion Beam Deposited Silicon oxide Thin films / Heinz D. Wazenboeck ; Emmerich Bertagnolli ; Bernhard Basnar ; Juergen Smoliner ; Martin Gritsch ; Herbert Hutter ; Josef Brenner ; C. Tomastik ; Herbert Storri
Study of Inversion Layer Hole Mobility In p-Mosfet During High-field Stressing / R. Jarawal ; D. Misra
Two Limiting Thinnesses Of The Ultrathin Gate Oxide / Samares Kar
SiO[subscript 2] Stress and Interfaces / II:
The Connection Between oxide leakage currents and Si/SiO[subscript 2] Interface Trap Generation / P. M. Lenahan
Charging Damage During Plasma Enhanced Dielectric Deposition / K. Cheung
Kinetics and Mechanisms of Organic Contaminant Interactions at Silicon Surfaces in High Temperature Processes / N. Rana ; P. Raghu ; F. Shadman
SiO[subscript 2] Films and Properties / III:
Remote Plasma Deposited Gate Dielectrics on Si and SiGe Mosfets / T. Ngai ; R. Sharma ; J. Fretwell ; X. Chen ; J. Chen ; W. Brookover ; S. Banerjee
Rapid Thermal Processes of High Permittivity Films on Silicon for ULSI Gate Dielectrics Applications / S. P. Tay ; R. Sharangpani ; Y. Z. Hu
Processing of Thick Thermal Gate Oxides in Trenchs / C. T. Wu ; R. Ridley ; G. Dolny ; T. Grebs ; J. Hao ; S. Suliman ; B. Venkataraman ; O. Awadelkarim ; R. Williams ; P. Roman ; J. Ruzyllo
Electrical Properties of SiO[subscript 2]-Films Prepared by VUV Chemical Vapor Deposition / Y. Motoyama ; J. Miyano ; K. Tosikawa ; Y. Yagi ; K. Kurosawa ; A. Yokotani ; W. Sasaki
SiO[subscript 2] Film Deposition on Different Substrate Materials by Photo- CVD Using Vacuum Ultraviolet Radiation / K. Toshikawa
Silicon Nitrides/ Oxynitrides / IV:
Low Energy Ion Irradiation of Silicon: Compound Formation and Segregation of Impurities / M. Petravic ; J. S. Williams ; P. N. K. Deenapanray
Characteristics of Silicon Oxynitrides Made By ECR Plasmas / J. A. Diniz ; P. J. Tatsch ; J. Swart
Radiation Hardening of Oxynitrides Formed By Low Nitrogen Implantation into Silicon Prior to Oxidation / J. Godoy Fo
Silicon Nitrides/ Oxynitrides II / V:
Material and Process Considerations of Ultra thin Silicon (Oxy) Nitride Films Grown on Silicon and SiO[subscript 2] Surfaces / C. P. D'Emic ; E. P. Gusev ; K. K. Chan ; T. Zabel ; M. Copel ; R. Murphy ; P. Kozolowski ; J. Newbury
Silicon OxyNitride: A Versatile Material for Integrated Optics Application / K. Worhoff ; A. Driessen ; P. V. Lambeck
Characterization of Silicon Oxynitride Thin Films Deposited By ECR-PECVD / C. Simionescu ; J. Wojcik ; H. K. Haugen ; J. A. Davies ; P. Mascher
Silicon Nitrides/ Oxynitrides III / VI:
Characterization of Low- Temperature Magnetoplasma- Grown Si Oxynitride and Si Oxide / H. Ikoma
Advances in Single Wafer Chemical Deposition of Oxide and Nitride films / W. Palmer ; Z. Gabric
High Integrity Direct Oxidation/Nitridation at Low Temperatures Using Radicals / T. Ohmi ; S. Sugawa ; M. Hirayama
Silicon Nitride
Thermally Induced Stress Changes in High Density Plasma Deposited Silicon Nitride Films / R. E. Shah ; H. Baumann ; D. Serries ; M. Mikulla ; R. Keiffer
Effect of Oxygen in Deposited Ultra Thin Silicon Nitride Film on Electrical Properties / K. Muraoka ; K. Kurihara
Influence of Low- energy argon Ion Bombardment and Vacuum Annealing on the Silicon Nitride Surface Properties / I. P. Petrenko ; V. A. Gritsenko ; L. M. Logvinsky ; H. Wong
Authors Index
Subject Index
Preface
Organizers
Oxide Wear-Out, Reliability, Stress and Interfaces / I:
2.

図書

図書
editors, M.D. Allendorf, F. Maury, F. Teyssandier ; sponsoring divisions, High Temperature Materials, Electronics, Dielectric Science and Technology
出版情報: Pennington, NJ : Electrochemical Society, c2003  2 v. (xxviii, 1570 p.) ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2003-8
所蔵情報: loading…
3.

図書

図書
editors, P.J. Timans ... [et al.] ; sponsoring deivisions, Electronics, Dielectric Science and Technology, and High Temperature Materials
出版情報: Pennington, NJ : Electrochemical Society, c2002  xiii, 478 p. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2002-11
所蔵情報: loading…
4.

図書

図書
editors, G.M. Swain ... [et al.] ; sponsoring divisions, Dielectric Science and Technology, High Temperature Materials, and Electronics
出版情報: Pennington, N.J. : Electrochemical Society, c2002  ix, 290 p. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2001-25
所蔵情報: loading…
5.

図書

図書
editors, J.L. Davidson ... [et al.]
出版情報: Pennington, N.J. : Electrochemical Society, c2000  xiv, 532 p. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 99-32
所蔵情報: loading…
6.

図書

図書
editors, Mark D. Allendorf, Michael L. Hitchman
出版情報: Pennington, N.J. : Electrochemical Society, c2000  xi, 810 p. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2000-13
所蔵情報: loading…
目次情報: 続きを見る
Fundamental Chemistry and Mechanisms
Atomistic Modeling of Chemical Vapor Deposition: NO on the Si (001) (2x1) Reconstructed Surface / N. Tanpipat ; J. Andzelm ; B. Delley ; A. Korkin ; A. Demkov
Simulation of Surface Diffusion of Silicon and Hydrogen on Single Crystal Silicon Surfaces / S. Somasi ; B. Khomami ; R. Lovett
Activation Energy Study for the Nucleation and Growth Stages of Cu(TMVS)(HFAC) Sourced Copper CVD / D. Yang ; J. Hong ; D. Richards ; T. Cale
Development of Gas Phase and Surface Kinetic Schemes for the MOCVD of CdTe, ZnS and ZnSe / C. Cavallotti ; V. Bertani ; M. Masi ; S. Carra
Determination of Energy Transfer Effects for Molecular Decomposition / W. Tsang ; V. Mokrushin
Gas-Phase Chemistry in the CVD of Silicon Carbide: Theoretical Study of the Reactions SiH[subscript 2]+CH[subscript 4], SiH[subscript 2]+C[subscript 2]H[subscript 4], and SiH[subscript 2]+C[subscript 2]H[subscript 2] / C. Raffy ; M.D. Allendorf ; E. Blanquet ; C.F. Melius
SiH[subscript 4] Reaction Mechanism Research Using a Fast Wafer-Rotating Reactor / Y. Sato ; N. T. Tamaoki ; T. Ohmine
Modeling Particle Nucleation during Thermal CVD of Silicon from Silane using Kinetic Monte Carlo Simulation / X. Li ; M. Swihart
Measurement of the Kinetics of the High Temperature Oxidation of TiCl[subscript 4] / R. Raghavan ; D. Lee ; D. Conrad ; P. Morrison, Jr.
Influence of Carbon Precursor on the Gas-Phase Chemistry of the Ti-C-Cl-H System / S. de Persis ; F. Teyssandier ; A. McDaniel
Molecular Beam Mass Spectrometry Studies of the Thermal Decomposition of Tetrakis(Dimethylamino)Titanium / C.C. Amato-Wierda ; E.T. Norton, Jr.
Kinetic and Mechanistic Studies of the Chemical Vapor Deposition of Ti-Si-N Thin Films with Ti(NMe[subscript 2])[subscript 4](TDMAT), NH[subscript 3], and Si H[subscript 4] / E.T. Norton ; C. Amato-Wierda
Evaluation of Gas Phase Reaction Rate Constant by Deposition Profile Analysis for In Situ Counter Diffusion CVD / Y. Egashira ; H. Tanaka ; T. Mina ; N. Mori ; K. Ueyama
Integration of a Quadrupole Mass Spectrometer and a Quartz Crystal Microbalance for In Situ Characterization of Atomic Layer Deposition Processes in Flow Type Reactors / A. Rahtu ; M. Ritala
Nanostructures and Quantum Dots
Nanostructures by CVD Assisted Methods Using Inorganic Precursors / P. Doppelt ; R. Even ; F. Marchi ; V. Bouchiat ; H. Dallaporta ; S. Safarov ; D. Tonneau ; P. Hoffmann ; F. Cicoira ; I. Utke ; B. Dwir ; K. Leifer ; E. Kapon
Effect of Carbon Predeposition Nucleation of Quantum Dots / Q. Zhao ; D. Greve
Plasma-Enhanced MOCVD of Smooth Nanometersized Metal/Silicon Single- and Multilayerfilms / F. Hamelmann ; G. Haindl ; A. Aschentrup ; A. Klipp ; U. Kleineberg ; P. Jutzi ; U. Heinzmann
Modeling, Reactor Design, and Process Control
Multicomponent Transport and Mixed Convection Flows in CVD Reactors / A. Ern
A Benchmark Solution for Multi-Dimensional Thermal CVD Modeling with Detailed Chemistry / C.R. Kleijn
On the Occurrence of Non-Symmetric Flows in Axisymmetric CVD Reactors / H. van Santen ; H.E.A. van den Akker
The Dynamics of Thin Film Growth: A Modeling Study / M. Gallivan ; R. Murray ; D. Goodwin
Improvement of Temperature Uniformity in Rapid Thermal CVD Systems Using Multivariable Control / F. van Bilsen ; R. De Keyser
Systematic Approach to Controlling Abnormal Structures Growth in CVD - Simulation of Nodule Structure Evolution
A Two-Dimensional Simulation Model for Oxy-Acetylene Flame CVD of Diamond Films / M. Okkerse ; C. Kleijn ; H. van den Akker ; M.H.J.M. de Croon ; G.B. Marin
Silicon Films Morphology Design Through Multiscale CVD Modeling
Numerical Simulation of Silicon Carbide Deposition in a Cold Wall CVD Reactor / G. Chaix ; A. Dolle t ; M. Matecki ; Y. Wang
Effect of Gas Phase Nucleation on Silicon Carbide Chemical Vapor Deposition / A.N. Vorob'ev ; A.E. Komissarov ; M.V. Bogdanov ; S. Yu. Karpov ; A.A. Lovtsus ; Yu.N. Makarov ; S.A. Lowry
CVD of SiC from CH[subscript 3]SiCl[subscript 3] in a Hot-Wall-Reactor System: Transport Pheonomena and Kinetic Aspects / V.K. Wunder ; N. Popovska ; H. Gerhard ; G. Emig ; P. Kaufmann ; L. Kadinski ; F. Durst
Modeling and System Design for Atmospheric Pressure CVD of YSZ / T. Besmann ; V. Varanasi ; T. Starr ; T. Anderson
A Stagnation-Flow MOCVD Reactor for Intelligent Deposition of YBCO Thin Films / A. Tripathi ; D. Boyd ; H. Atwater ; L. R. Raja ; R. J. Kee ; J. Musolf
Metalorganic Chemical Vapor Deposition
New Liquid Precursors for CVD of Metal-Containing Materials / R. Gordon
Novel MOCVD Process for the Low Temperature Deposition of the Chromium Nitride Phases / F. Maury ; D. Duminica ; F. Senocq
MOCVD of WN[subscript x] Thin Films Using Novel Imido Precursors / S. Johnston ; C. Ortiz ; O. Bchir ; Y. Zhang
Vapor Phase Epitaxy of Magnesium Oxide on Silicon Using Methylmagnesium Alkoxides / S.S. Lee ; S.Y. Lee ; C. Kim ; Y. Kim
Use of Ti(dpm)[subscript 2](Opr[superscript i])[subscript 2] Precursor to Obtain TiO[subscript 2] Film / V. Krisyuk ; A.E. Turgambaeva ; I.K. Igumenov ; V.G. Bessergenev ; I.V. Khmelinskii ; R.J.F. Pereira
Monitoring of MOCVD Fabrication of LaF[subscript 3] Films Using the Novel La(hfac)[subscript 3] - Diglyme Adducts and In Situ Synthesized La(hfac)[subscript 3] Anhydrous Precursor / G.G. Condorelli ; I.L. Fragala
Ceramics, Composites, and Hard Materials
Chemical Vapor Deposition of Aluminum on Silicon Carbide for the Investigation of the Interfacial Microstructure in Discontinuously Reinforced Aluminum / P. Oritz ; D. Oquab ; C. Vahlas ; I. Hall
Development of CVD Mullite Coatings / S.N. Basu ; V.K. Sarin
Evaluation of Various Hypotheses on the Influence of Nitrogen on Diamond Growth in an Oxy-Acetylene Torch Reactor
MOCVD of Aluminosilicate Corrosion Protection Coatings / S.M. Zemskova ; J.A. Haynes ; T.M. Besmann
Chemical Vapor Deposition of MoS[subscript 2] on and in TiN Coatings / H. Keune ; G. Wahl ; W. Lacom
Measurement of the Retarding Effect of HCl on the Rate of CVD of Titaniumdiboride / Ch.-Ho Yu ; E. Zimmermann ; D. Neuschutz
Factors Affecting the Amount of Carbon in Titanium Carbide Films Made by CVD / C. C. Amato-Wierda ; K. E. Versprille ; P. Ramsey
Characterization of Ti-W-C Thin Films Deposited by CVD / C.C Amato-Wierda ; H. X. Ji
MOCVD of Chromium Carbide from Bis-Ethyl-Benzene-Chromium / M. Satschko
Effect of H[subscript 2]S on the Microstructure and Deposition Characteristics of Chemically Vapor Deposited Al[subscript 2]O[subscript 3] / S. Ruppi ; A. Larsson
Cosmo-Mimetic Carbon Micro-Coils / D. Motojima ; X. Chen ; W. In-Hwang ; T. Kuzuya ; M. Kohda ; Y. Hishikawa
Morphology of Carbon Micro-coils Grown by Catalytic Decomposition of Hydrocarbons / W.-In Hwang ; S. Motojima
Silicon and Silicon Germanium Materials
Chemical Vapor Deposition of Low Trap Density SiGe Quantum Well Layers on Silicon / S. Kar ; P. Zaumseil
Loading Effects during Non-Selective Epitaxial Growth of Si and SiGe / J. Pejnefors ; S.-L. Zhang ; J.V. Grahn ; M. Ostling
Thermodynamic Analysis of Selective Epitaxial Growth of Silicon / W.-S. Cheong ; J.H. Joung ; J.W. Park ; D.J. Ahn
Nanocrystals Formation and Microstructure Evolution of Amorphous Si and Si[subscript 0].7Ge[subscript 0.3] by Using Low Pressure Chemical Vapor Deposition / T.-S. Yoon ; D.-H. Lee ; J.-Y Koon ; K.B. Kim
The Profile Control of n-type Doping in Low and High Temperature Si Epitaxy for High Frequency Bipolar Transistors / H.H. Radamson ; B. Mohadjeri ; B.G. Malm ; G. Landgren
CVD-Epitaxial Growth on Porous Si for ELTRAN SOI-Epi Wafers / N. Sato ; S. Ishii ; T. Yonehara
Dislocation Generation in Selective and Non Selective SiGe Epitaxy / C. Fellous ; F. Romagna ; D. Dutartre
MOSFET Evaluation of Ultraclean-CVD Si and SiGe Grown at 550[degree]C on SIMOX / K. Fujinaga
Global Model of Silicon Chemical Vapor Deposition in Centura Reactors / A.S. Segal ; A.V. Kondratyev ; A.O. Galyukov ; S.Yu. Karpov ; W. Siebert ; P. Storck ; S. Lowry
A Comparison of Commonalities and Differences of Silicon-Based Thin Films CVD Processes for ULSI Device Technologies / V. Vassiliev
Metals, Metallization, and Diffusion Barriers
Selective Nucleation and Area Selective OMCVD of Gold on Patterned Self-Assembled Organic Monolayers: A Comparison of OMCVD and PVD / R.A. Fischer ; C. Winter ; U. Weckenmann ; J. Klashammer ; V. Scheumann ; S. Mittler
Surface Analysis of Al Film Prepared from Dimethyl-Aluminum-Hydride / M. Sugiyama ; H. Ogawa ; H. Itoh ; J. Aoyama ; Y. Horiike ; H. Komiyama ; Y. Shimogaki
Chemical Vapor Deposition of Titanium Nitride and Titanium Silicon Nitride Thin Films from Tetrakis-(dimethylamido) Titanium and Hydrazine as a Co-Reactant / D.A. Wierda
Chemical Vapor Deposition of Copper Using hfacCu[superscript (1)]DMB(3,3-dimethyl-1-butene) Liquid Precursor / K.- K. Choi ; S.-W. Rhee
Reaction of Bis-(2,4-Pentanedionato) M(II) (M= Ni, Cu) Under Low Pressure CVD Conditions / C.R. Vestal ; H.M. Sturgill ; T.C. DeVore
Metalorganic Chemical Vapor Deposition of Nickel Films: Investigation of a New Precursor, [Ni(tmen)([mu]-tfa) subscript 2]([mu]H[subscript 2]O) / J.-K. Kuang ; A. Gleizes
Platinum Thin Films Obtained via MOCVD on Quartz and CaF[subscript 2] Windows as Electrode Surfaces for In Situ Spectroelectrochemistry / S. Santi ; G. Carta ; S. Garon ; L. Rizzo ; G. Rossetto ; P. Zanella ; D. Barreca ; E. Tondello
Surface Adsorption of WF[subscript 6] on Si and SiO[subscript 2] in Selective W-CVD / Y. Yamamoto ; T. Matsuura ; J. Murota
CVD Tungsten Via Void Minimization for Sub 0.25 [mu]m Technology / A.M. Haider ; D.J. Rose ; J.R.D. Debord ; S.P. Zuhoski
Three-Dimensional Computer Simulation of WSi[subscript x] CVD VLSI Processing-Effect of Outlet Position / K. Sugawara ; M. Kunishige ; T. Muranushi ; Y.K. Chae
Processing of Tungsten Single Crystals by Chemical Vapor Deposition / R. Zee ; Z. Xiao ; H.S. Gale ; B.A. Chin ; L.L. Begg
Simplified CMP Planarization Process Module for Shallow Trench Isolation Applications / K. Kapkin ; M. Mogaard ; T. Curtis ; J. deRuiter
Dielectric Materials
Reactor Scale Simulation of Metal Oxide Deposition from an Inorganic Precursor / J.V. Cole ; A. Nangia ; T. Mihopoulas ; R. Hegde
Atomic Layer Deposition of High-k Oxides / K. Kukli ; M. Vehkamaki ; T. Hanninen ; T. Hatanpaa ; P.I. Raisanen ; M. Leskela
Quality Improvement of SiO[subscript 2]-Films by Adding Foreign Gases in Photo-Chemical Vapor Deposition / Y. Motoyama ; J.-i. Miyano ; T. Yokoyama ; K. Toshikawa ; T. Mori ; H. Mutou ; K. Kurosawa ; A. Yokotani ; W. Sasaki
SiO[subscript 2] Deposition Mechanism in Photo-Chemical Vapor Deposition Using Vacuum Ultraviolet Excimer Lamp / J. Miyano
Kinetic Growth of Al[subscript 2]O[subscript 3] Thin Films Using Aluminium Dimethylisopropoxide as Precursor / G. A. Battison ; R. Gerbasi
Atomic Layer Deposition of Metal Oxide Films by using Metal Alkoxides as an Oxygen Source
Optimization of LPCVD Nitride Deposition Conditions for Non-Volatile Memory Inter Poly Dielectric Applications / M.J. Teepen ; M.A.A.M. van Wijck ; H. Sprey
Ultra Thin TiO[subscript 2] Films Deposited by Atomic Layer Chemical Vapor Deposition / M. Schuisky ; A. Aidla ; J. Aarik ; M. Ludvigsson ; A. Harsta
Characterization of Ta[subscript 2]O[subscript 5] Films Prepared by ALCVD / K. Forsgren ; J. Sundqvist
In-Situ Preparation of Ti-Containing Ta[subscript 2]O[subscript 5] Films by Halide CVD
CVD of Zr-Sn-Ti-O for Capacitor Applications / Y. Senzaki ; G. Alers ; A. Hochberg ; D. Roberts ; J. Norman ; R. Fleming ; H. Krautter
Gallium Nitride and Other III-V Materials
Investigations of Chemical Vapor Deposition of GaN Using Synchrotron Radiation / C. Thompson ; G.B. Stephenson ; J.A. Eastman ; A. Munkholm ; O. Auciello ; M.V. Ramana Murty ; P. Fini ; S.P. DenBaars ; J.S. Speck
Reaction-Transport Models of the Metalorganic Vapor Phase Epitaxy of Gallium Nitride / R.P. Pawlowski ; C. Theodoropoulos ; A.G. Salinger ; H.K. Moffat ; T.J. Mountziaris ; J.N. Shadid ; E.J. Thrush
Growth of Hexagonal GaN Films by MOCVD Using Novel Single Precursors / C.G. Kim ; S.H. Yoo ; J.H. Lee ; Y.K. Lee ; M.M. Sung
In Situ Measurement of the Decomposition on GaN OMVPE Precursors by Raman Spectroscopy / C. Park ; S. Lee ; J. Seo ; M. Huang ; T.J. Anderson
Growth Kinetics and Mechanistic Studies of GaN Thin Films Grown by OMVPE Using (N[subscript 3])[subscript 2]Ga[(CH[subscript 2])[subscript 3]NMe subscript 2] as Single Source Precursor / A. Wohlfart ; A. Devi ; W. Rogge ; J. Schafer ; J. Wolfrum
Experimental and Numerical Study of InGaAsP Materials Growth Kinetics and Composition / O. Feron ; Y. Nakano
Ruthenium Doped Indium Phosphide Growth by Low Pressure Hydride Vapor Phase Epitaxy / D. Soderstrom ; S. Lourdudoss ; M. Wallnas ; A. Dadgar ; O. Stenzel ; D. Bimberg ; H. Schumann
Quasi-Thermodynamic Models of Surface Chemistry: Application to MOVPE of III-V Ternary Compounds / E.V. Yakovlev ; R.A. Talalaev ; Yu.A. Shopolyanskiy ; Y.N. Makarov
Electronic, Optical, and Magnetic Materials
Chemical Vapor Deposition and Magnetoresistance of Granular Cu-Co Films / I.S. Chuprakov ; K.-H. Dahmen
Diagnostics of Metalorganic Chemical Vapor Deposition of (Ba, Sr)TiO[subscript 3] Films by Microdischarge Optical Emission Spectroscopy / S. Momose ; T. Nakamura ; K. Tachibana
Bismuth Titanate Thin Films Deposited by Halide Chemical Vapor Deposition / S. Khartsev ; A. Grishin
LPCVD of Optical Interference Coatings for Micro-Optical Applications / M. George ; D.Z. Rogers
Evaluation of Precursors for the Chemical Vapour Deposition of Tin Oxide / A.M.B. van Mol ; G.R. Alcott ; C.I.M.A. Spee ; J.C. Schouten
Characteristics of Silicon Oxy-Nitride Thin Films Deposited by Electron Cyclotron Resonance Plasma Enhanced Chemical Vapor Deposition / C. Simionescu ; F. Bounasri ; S.G. Wallace ; H.K. Haugen ; J.A. Davies ; P. Mascher
CVD of Molecule-based Conductors and Magnets / L. Valade ; D. deCaro ; H. Casellas ; M. Basso-Bert ; C. Faulmann ; J.-P. Legros ; P. Cassoux ; L. Aries
Stability of RuO[subscript 2] Bottom Electrode and Its Effect on the Ba-Sr-Ti Oxide Film Quality / Y.-J. Oh ; S.H. Moon ; C.-H. Chung
Multilayers for the Growth of HTc Superconducting Tape: A Full MOCVD Approach / R. Lo Nigro ; G. Malandrino
Fundamental Chemistry and Mechanisms
Atomistic Modeling of Chemical Vapor Deposition: NO on the Si (001) (2x1) Reconstructed Surface / N. Tanpipat ; J. Andzelm ; B. Delley ; A. Korkin ; A. Demkov
Simulation of Surface Diffusion of Silicon and Hydrogen on Single Crystal Silicon Surfaces / S. Somasi ; B. Khomami ; R. Lovett
7.

図書

図書
editors, L. Cook ... [et al.] ; sponsoring divisions, Electronics and Photonics, Dielectric Science and Technology, High Temperature Materials
出版情報: Pennington, NJ : Electrochemical Society, c2006  ix, 334 p. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2003-31
所蔵情報: loading…
8.

図書

図書
editors, M. Cahay ... [et al.] ; sponsoring divisions, Dielectric Science and Technology, Electronics, High Temperature Materials, and New Technologies Subcommittee
出版情報: Pennington, NJ : Electrochemical Society, c2005  xi, 662 p. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2004-13
所蔵情報: loading…
9.

図書

図書
editors, Evgeni P. Gusev ... [et al.] ; sponsoring divisions, Electronics, Dielectric Science and Technology, and High Temperature Materials
出版情報: Pennington, NJ : Electrochemical Society, c2005  xv, 634 p. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2005-05
所蔵情報: loading…
10.

図書

図書
editors, Anjana Devi ... [et al.] ; sponsoring divisions, High Temperature Materials, Dielectric Science and Technology, and Electronics and Photonics
出版情報: Pennington, NJ : Electrochemical Society, c2005  xviii, 1106 p. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2005-09
所蔵情報: loading…
11.

図書

図書
editors, C. L. Claeys, W. Wong-Ng, K. M. Nair
出版情報: Pennington, NJ : Electrochemical Society, c2004  x, 318 p. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2003-27
所蔵情報: loading…
目次情報: 続きを見る
Preface
Low Temperature Electronics
HALO Effects on 0.13 [mu]m Floating-Body Partially Depleted SOI n-MOSFETs in Low Temperature Operation / J.A. Martino ; M. A. Pavanello ; E. Simoen ; C. Claeys
Emerging Double-gate MOS Device Technology / E. Suzuki ; Y. Liu ; M. Mashara ; K. Ishii
New Insights on the Cryogenic Self-Heating of Silicon MOSFETs: Thermal resistance of the Ceramic Package / F. J. De la Hidalga-W ; F. J. Cortes-P ; M. J. Deen
High Speed Conversion of Picosecond, Millivolt Pulse Signals to CMOS Voltage Levels / T. Van Duzer ; S. R. Whiteley ; X. Meng ; Q. Liu ; N. Yoshikawa
Operation of Double Gate Graded-Channel Transistors at Low Temperatures / J. A. Martino ; T. M. Chung ; A. Kranti ; J.-P. Raskin ; D. Flandre
Electrical Properties of a Boron Doped Amorphous Silicon Bolometer Operating at Low Temperatures / A. Heredia-J ; W. A. Torres-J ; A. Jaramillo-N
Cryogenic Operation of High-Voltage IGBTs / E. K. Mueller ; O. M. Mueller ; M. J. Henessy
Ge Semiconductor Devices for Cryogenic Power Electronics--IV / R. R. Ward ; W. J. Dawson ; L. Zhu ; R.K. Kirschman ; R. L. Patterson ; J. E. Dickman ; A. Hammoud
Analog Power and Digital Circuits at Cryogenic Temperatures for Space / V.J. Kapoor ; A. J. Menezes ; A. Vijh ; R.L. Patterson
SiGe Semiconductor Devices for Cryogenic Power Electronics / R. K. Kirschman ; O. Mueller
Liquid Helium Temperature Irradiation Effects on the Operation of 0.7 [mu]m CMOS Devices for Cryogenic Space Applications / A. Mercha ; Y. Creten ; J. Putzeys ; P. Mercken ; P. De Moor ; C. Van Hoof ; A. Mohammazadeh ; R. Nickson
Silicon Based Electronics: From Physical Curiosity to Quantum Computing
Low-Temperature Performance of Ultimate Si-Based MOSFETS / J. Jomaah ; G. Ghibaudo ; S. Cristoloveanu ; A. Vandooren ; F. Dieudonne ; J. Pretet ; F. Lime ; K. Oshima ; B. Guillaumot ; F. Balestra
Room-Temperature Synthesis and Characterization of Pure and Co-Doped ZnO / A. Manivannan ; G. Glaspell ; L. Riggs ; S. Underwood ; M.S. Seehra
Low Temperature Cofired Ceramic (LTCC) Based Electronic Devices
Processing and Reliability
Process and Material Challenges Associated with the Next Generation of LTCC Based Products / E. Elvey ; V. Wang ; M. Folk ; C. Tan ; F. Barlow ; A. Elshabini
Novel LTCC Fabrication Techniques Applied to a Rolled Micro Ion Mobility Spectrometer / K. Peterson ; S. Rhode ; K. Pfeifer ; T. Turner
Design of Integrated Modules for Wireless and RF Applications Using Multi-Mix Microtechnology and Green Tape LTCC Materials / J. J. Logothetis ; D. I. Amey ; T. P. Mobley
Plating to LTCC / T. Bloom ; F. Lautzenhiser ; M. Rine
Robocast High Zirconia Content (Pb,La,Zr,Ti)O[subscript 3] Dielectrics / D. Williams ; B. Tuttle ; J. Cesarano ; M. Rodriguez
Thermal Management Using Low Temperature Cofire Ceramic (LTCC) / W. K. Jones ; M. Zampino
Thermomechanical Reliability of LTCC Solder Attachments / Chia-Yu Fu
Interfacial Reactions in LTCC Materials / L. P. Cook ; W. Wong-Ng ; J. Suh
Thermoelectric Materials
Thermoelectric Measurements / T. P. Hogan ; S. Y. Loo ; F. Guo
High Temperature Power Factor Measurement System for Thermoelectric Materials / K.-F. Hsu ; M. G. Kanatzidis
Overview of Properties of "Metallic" Na[subscript x]Co[subscript 2]O[subscript 4] Thermoelectric Materials / T. M. Tritt ; X. Tang ; E. Abbott ; J. K. Kolis
Transport and Optical Properties of the Type II Clathrates Cs[subscript 8]Na[subscript 16]Si[subscript 136] and Si[subscript 136] / M. Beekman ; G. S. Nolas ; J. Gryko ; G. A. Lamberton, Jr. ; C. A. Kendziora
Devices and Applications
Lead Free Dielectric and Magnetic Materials for Integrated Passives / R. L. Wahlers ; M. Heinz ; A. H. Feingold
MEMs in Low Temperature Co-Fired Ceramics / A. Moll ; D. Plumlee
Miniaturized Single/Multi-level Bandpass Filters for LTCC Applications / R. K. Settaluri
Authors Index
Subject Index
Preface
Low Temperature Electronics
HALO Effects on 0.13 [mu]m Floating-Body Partially Depleted SOI n-MOSFETs in Low Temperature Operation / J.A. Martino ; M. A. Pavanello ; E. Simoen ; C. Claeys
12.

図書

図書
editors, F. Roozeboom ... [et al.]
出版情報: Pennington, NJ : Electrochemical Society, c2003-2004  2 v. ; 24 cm
シリーズ名: Proceedings / [Electrochemical Society] ; v. 2003-14, 2004-01
所蔵情報: loading…
目次情報: 続きを見る
Preface
Conference organization
Advances in Rapid Thermal Processing Equipment / Section I:
Intra-die temperature non-uniformity related to front side emissivity dependence during Rapid Thermal Annealing / C. Laviron ; R. Lindsay ; A. Michallet ; A. Halimaoui ; E. Granneman1.:
Pattern effects during spike annealing of ultra-shallow implants / J. Niess ; Z. Nenyei ; W. Lerch ; S. Paul2.:
Time-resolved analysis of flash-assist RTP thermal pulse progression in SOI and bulk silicon wafers / K. Elliott ; S. McCoy ; D.M. Camm ; J.C. Gelpey ; R. Tichy ; L. Larson3.:
A novel hot-wall furnace-based RTP System with sequenced H[subscript 2] and wet-H[subscript 2] for advanced dielectric applications / Y. Liu ; J. Hebb4.:
Ultra-Shallow Source/Drain Junctions by Ion-Implantation and Rapid Thermal Annealing / Section II:
Junction scaling for 90 nm and beyond / J. Hwang ; H. Kennel ; P. Packan ; M. Taylor ; M. Liu ; R. James ; M. Kuhn5.:
Rapid thermal solid phase epitaxy annealing for ultra-shallow junction formation / D. F. Downey ; E. A. Arevalo6.:
Cluster ion beam process technology / I. Yamada ; N. Toyoda ; J Matsuo ; L P. Allen7.:
A first principles examination of the diffusion of boron in silicon during Microwave Rapid Thermal Processing / K. Thompson ; C.J. Bonifas ; R.F. Cooper ; J.H. Booske8.:
Structure and thermal evolution of small clusters found after ultralow-energy high-dose boron implantation in Si / X. Hebras ; F. Cristiano ; N Cherkashin ; B. Pawlak ; R. Surdeanu ; A. Claverie9.:
Relation between thermal evolution of interstitial defects and Transient Enhanced Diffusion in silicon / B. Colombeau ; P. Calvo ; N. Cherkashin ; Y. Lamrani ; E. Scheid ; B. de Mauduit ; E. Lampin10.:
Ultra-shallow junction formation: current manufacturability issues and future prospects / A Agarwal ; H. Gossmann ; M. Ameen ; A. Stevenson ; M. Jones11.:
Perfomance enhancements for 50-nm PMOS by angled pre-amorphization implants and fluorine implants / P.R. Chidambaram ; S. Ekbote ; S. Chakravarthi ; A. Chatterjee ; C.F. Machala ; S F. Johnson12.:
The role of fluorine with Ge pre-amorphization in forming pMOS junctions for the 65-nm CMOS technology node / B.J. Pawlak ; X. Pages ; W. Vandervorst ; K. v. d. Jeugd13.:
Dose loss and diffusion in BF[subscript 2]-implanted silicon during Rapid Thermal Annealing / O. Dokumaci ; P. Ronsheim ; S. Hegde ; C. Cabral, Jr.14.:
Ultra-shallow implant anneal using a short-wavelength flash light source / W.S. Yoo ; K. Kang15.:
Ultra-Shallow Source/Drain Junctions by Alternative Doping and Annealing Methods / Section III:
Electrical characterization of silicon diodes formed by laser annealing of implanted dopants / L.K. Nanver ; J. Slabbekoorn ; A. Burtsev ; T.L.M. Scholtes ; F. Simon ; H.-J. Kalhert ; J.W. Slotboom16.:
Laser thermal processing for ultra-shallow junction formation: experiment and modelling / J. Venturini ; M. Hernandez ; T. Sarnet ; G. Kerrien ; J.L. Santailler ; J. Boulmer17.:
Excimer laser annealing: a solution for the future technology nodes? / V. Privitera ; A. La Magna ; G. Mannino ; G. Fortunato ; L. Mariucci ; B.G. Svensson18.:
Ultra-shallow junction formation by Gas Immersion Laser Doping (GILD) on silicon bulk and SOI substrate / D. Debarre ; M. N. Semeria19.:
Advanced Contacts to Ultra-Shallow Source/Drain Junctions / Section IV:
Sidewall grooving on narrow CoSi[subscript 2] lines / O. Chamirian ; M. de Potter ; A. Lauwers ; O. Richard ; C. Vrancken ; K. Maex20.:
High resolution investigation of atomic interdiffusion during Co/Ni/Si phase transistion / A. Alberti ; B. Cafra ; C. Bongiorno ; F. La Via ; C. Spinella21.:
Silicide scaling: Co, Ni or CoNi? / J.A. Kittl ; A. Akheyar ; M. Van Dal22.:
Ni-based silicides: material issues for advanced CMOS applications / J.G. Lisoni ; M. De Potter23.:
Study on Ge/Si ratio and formation of Ni/ P[superscript +]Si[subscript 1-x]Ge[subscript x] and Ni/Si/ P[superscript +]Si [subscript 1-x]Ge[subscript x] / T.-H. Yang ; E.Y. Chang ; G. Luo ; K.M. Chen ; T.-Y. Yang ; H.-C. Tseng ; C.-Y. Chang24.:
Design of experiment on the Co salicide process: impact of thickness and anneals on main CMOS Parameters / F. Wacquant ; C. Regnier ; M.-T. Basso ; C. Julien ; A. Humbert ; C. Jenny25.:
Co-silicide, Co(Ni)-silicide and Ni-silicide to source/drain contact resistance / R. Jonckheere ; P. Leunissen ; M. van Dal ; G. Tempel26.:
Advanced MOS Gate Stacks I / Section V:
Application of high-k dielectrics in CMOS technology and emerging new technology / R. Liu ; T.-B. Wu27.:
Growth and characterization of Al[subscript 2]O[subscript 3]:HfO[subscript 2] nanolaminate films deposited by Atomic Layer Deposition / C.P. D'Emic ; E.P. Gusev ; M. Copel ; J. Newbury ; H. Hovel ; P. Kozlowski ; J. Bruley ; R. Murphy28.:
Batch Atomic Layer Deposition for MIM capacitors / R. de Blank ; G.J. Snijders ; S. Beulens ; L. Vandezande ; R. Wilhelm ; A. Hasper29.:
First principles modelling of the deposition process for high-k dielectric films / S.D. Elliott30.:
Pathways for advanced transistors using hafnium-based oxides by Atomic Layer Deposition / A.R. Londergan ; S. Ramanathan ; J. Winkler ; T.E. Seidel ; J. Gutt ; G. Brown ; R. W. Murto31.:
Development of 12 A plasma nitrided gate dielectrics through characterization of process, physical and electrical parameters / G. Miner ; P. Kraus ; T.-C. Chua ; J. Holland ; C. Olsen ; K. Ahmed ; A. Hegedus ; S. Hung ; F. Nouri ; A. Herrera-Gomez ; A. Lepert ; P. Meissner32.:
Advanced layer-by-layer deposition and annealing process for high-quality high-k dielectrics formation / K. Iwamoto ; T. Tominaga ; T. Yasuda ; T. Nabatame ; A. Toriumi33.:
Rapid thermal annealing of atomic layer deposited hafnium-silicate / poly-silicon layers / Z.M. Rittersma ; D. Massoubre ; F. Roozeboom ; M.A. Verheijen ; J.G.M. van Berkum ; Y. Tamminga ; T. Dao ; J.H.M. Snijders ; E. Vainonen-Ahlgren ; E. Tois ; M. Tuominen ; S. Haukka34.:
Rapid thermal process Atomic Layer Deposition of high dielectric constant ultrathin ZrO[subscript 2] for sub-65 nm silicon CMOS technology / M. Fakhruddin ; R. Singh ; K. F. Poole ; S. V. Kondapi ; S. Kar35.:
Ultrathin plasma nitrided oxide gate dielectrics for sub-100 nm generation CMOS technology / J. Jeon ; P. Yeh ; B. En ; K. Wieczorek ; F. Graetsch ; J. Bernard ; H.S. Kim ; E. Ibok ; R. Zhao ; B. Ogle36.:
Applications of SiGe Alloys in Future Device Technologies and Other Applications / Section VI:
High-k dielectric processing for germanium channel MOSFETs / P.C. McIntyre ; D. Chi ; H. Kim ; C.O. Chui ; B.B. Triplett ; K.C. Saraswat37.:
Fabrication of SiGe-on-Insulator substrates for high-performance strained SOI-MOSFETs by germanium condensation technique / T. Tezuka ; N. Sugiyama ; T. Mizuno ; S. Nakaharai ; S. Takagi38.:
MOSFET channel engineering using strained Si, SiGe and Ge channels / E.A. Fitzgerald ; M.L. Lee ; C.W. Leitz ; D.A. Antoniadis39.:
Growth of nickel silicide quantum dot arrays on epitaxial Si[subscript 0.7]Ge[subscript 0.3] on (001) silicon with a sacrificial amorphous silicon interlayer / L. J. Chen ; W. W. Wu ; S. L. Chengand ; S. W. Lee40.:
Electrical characterization of thick localized SOI substrates manufactured by Rapid Thermal Processing for high-voltage integrated circuits / O. Gonnard ; S. Roux ; F. Bernizet ; M. Bafleur ; J.-M. Dilhac41.:
Advanced MOS Gate Stacks II / Section VII:
The diffusion, activation and microstructure evolution of phosphorus implanted into polysilicon / L.S. Adam ; Y. Wang ; M. Mansoori42.:
Roadblocks and detours for poly-silicon / metal-oxide MOS integration / D.C. Gilmer ; C. Hobbs ; J. Grant ; R. Hegde ; H. Tseng ; D. Triyoso ; D. Roan ; R. Cotton ; J. Smith ; V. Dhandapani ; R. Garcia ; L. Dip ; R. Rai ; J. Conner ; S. Samavedam ; B. Taylor ; P. J. Tobin43.:
Poly-Si gate CMOS with hafnium silicate gate dielectric / S. Kher ; C. Metzner ; D. Gilmer ; A. Franke ; L. Hebert ; M. Azrak ; D. Sing ; T. Stephens ; C. Scrogum ; V. Becnel ; B. White ; P. Tobin44.:
TaN metal gate MOSFETs with aggressively scaled HfO[subscript 2] dielectrics / R. Lander ; T. Schram ; G.S. Lujan ; J. Hooker ; J. Vertommen ; S. Lee ; W. de Weerd ; W. Boullart ; S. van Elshocht ; R. Carter ; S. Kubicek ; K. Demeyer ; S. De Gendt ; M. Heyns|p36745.:
Evaluation of CMOS gate metal materials using in situ characterization techniques / C. Lavoie ; A.S. Ozcan ; R.S. Amos ; V. Narayanan ; J.L. Jordan-Sweet ; J.M.E. Harper46.:
Selective oxidation of tungsten gate stacks in high-volume DRAM production / G. Roters ; R. Hayn ; W. Kegel ; O. Storbeck ; S. Frigge ; G. Feldmeyer ; H. J. Meyer ; E. Schroer47.:
New metal gate architecture achieved by Chemical Vapor Deposition for a complete tunnel fill / F. Leverd ; S. Harrison ; P. Coronel ; B. Tavel ; T. Skotniki48.:
Silicon damage and dopant behaviour studies of rapid thermally processed arsenic-implanted silicon / D. Girginoudi ; N. Georgoulas ; A. Thanailakis ; E. Polychroniadis49.:
High-k Dielectrics for Advanced Transistors and Capacitors / Section VIII:
Effect of starting surface in Atomic Layer Deposition / W.-M. Li ; J.W. Maes50.:
Charges in HfO[subscript 2] ALD gate dielectrics / G. Bersuker ; P. Zeitzoff ; Y. Kim ; A. Hou ; C. Lim ; C. Young ; P. Lysaght ; M. Gardner ; H. R. Huff51.:
Atomic Layer Deposition of high-k metal oxides for gate and capacitor dielectrics / Y. Senzaki ; S.G. Park ; R. Higuchi ; H. Chatham ; L. Bartholomew ; S. Al-Lami ; C. Barelli ; S.-I. Lee ; A. Helms, Jr.52.:
Effect of thermal annealing on mist-deposited HfSiO[subscript 4]/SiO[subscript x]/Si structures / K Chang ; D.-O. Lee ; K. Shanmugasundaram ; P. Roman ; J. Shallenberger ; J. Wang ; P. Mumbauer ; R. Grant ; J. Ruzyllo53.:
Modelling of HfO[subscript 2] film deposition from Hf(mmp)[subscript 4] / T. Yano ; A. Kagatsume ; T. Fujimoto ; M. Hoshino ; T. Watanabe ; M. Asai ; S. Horii ; H. Miya ; M. Kamiya ; K. Hirao54.:
HfO[subscript 2] Films by UV-assisted and thermal injection liquid source MOCVD / B.J. O'Sullivan ; P.K. Hurley ; M. Modreanu ; F. Roussel ; H. Roussel ; M.A. Audier ; C. Jimenez ; C. Dubourdieu ; J.P. Senateur ; H. Davies ; S. Rushworth ; I.W. boyd ; Q. Fang ; J.M. Decams ; H. Guillon55.:
Performance of nitrided Hf-silicate high-k gate dielectrics / Q. Xiang ; F. Arasnia ; J. Zhang ; J.-S. Goo ; A. Halliyal ; B. Clark-Phelps ; H. Zhong56.:
Atomic Vapor Deposition of complex high-k thin films for sub-90 nm CMOS devices / J. Lindner ; S. Miedl ; M. Schumacher ; P. Lehman ; U. Weber ; P.K. Baumann ; T. McEntee57.:
Investigation of HfO[subscript 2] dielectrics for inter-poly dielectrics and Metal-Insulator-Metal capacitors / T.-H. Perng ; C.-H. Chien ; C.-W. Chen58.:
Author Index and Key Word Index / Section IX:
Author Index
Key Word Index
Preface
Conference organization
Advances in Rapid Thermal Processing Equipment / Section I:
文献の複写および貸借の依頼を行う
 文献複写・貸借依頼