close
1.

電子ブック

EB
Lorenzo Donatiello, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , IEEE Computer Society, 2000
所蔵情報: loading…
目次情報: 続きを見る
Message from the Program Chairs
Symposium Committee
Program Committee
Access Control I
Access Control Meets Public Key Infrastructure, Or: Assigning Roles to Strangers / A. Herzberg ; Y. Mass ; J. Mihaeli ; D. Naor ; Y. Ravid
A Security Infrastructure for Distributed Java Applications / D. Balfanz ; D. Dean ; M. Spreitzer
A Practically Implementable and Tractable Delegation Logic / N. Li ; B. Grosof ; J. Feigenbaum
Applications of Cryptography
Practical Techniques for Searches on Encrypted Data / D. Song ; D. Wagner ; A. Perrig
Efficient Authentication and Signing of Multicast Streams over Lossy Channels / R. Canetti ; D. Tygar
Panel: Is Electronic Privacy Achievable?
Is Electronic Privacy Achievable? / C. Irvine ; T. Levin
Privacy Technology Lessons from Healthcare / R. Anderson
Protocol Analysis and Design
Searching for a Solution: Engineering Tradeoffs and the Evolution of Provably Secure Protocols / J. Clark ; J. Jacob
Authentication Tests / J. Guttman ; F. Thayer
Protocol-Independent Secrecy / J. Millen ; H. Ruess
Panel: Will Open Source Really Improve System Security?
Robust Nonproprietary Software / P. Neumann
Security and Source Code Access: Issues and Realities / S. Lipner
Open Source in Security: Visiting the Bizarre / F. Schneider
Will Openish Source Really Improve Security / G. McGraw
Intrusion Detection
Using Conservation of Flow as a Security Mechanism in Network Protocols / J. Hughes ; T. Aura ; M. Bishop
Logic Induction of Valid Behavior Specifications for Intrusion Detection / C. Ko
Assurance
Using Model Checking to Analyze Network Vulnerabilities / R. Ritchey ; P. Ammann
Verifying the EROS Confinement Mechanism / J. Shapiro ; S. Weber
Fang: A Firewall Analysis Engine / A. Mayer ; A. Wool ; E. Ziskind
Key Management
A More Efficient Use of Delta-CRLs / D. Cooper
An Efficient, Dynamic and Trust Preserving Public Key Infrastructure / A. Levi ; M. Caglayan
Kronos: A Scalable Group Re-Keying Approach for Secure Multicast / S. Setia ; S. Koussih ; S. Jajodia ; E. Harder
Access Control II
LOMAC: Low Water-Mark Integrity Protection for COTS Environments / T. Fraser
IRM Enforcement of Java Stack Inspection / U. Erlingsson
Author Index
Message from the Program Chairs
Symposium Committee
Program Committee
2.

電子ブック

EB
Association for Computing Machinery-Digital Library.; ACM Special Interest Group on Software Engineering., Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , IEEE, 2000
所蔵情報: loading…
目次情報: 続きを見る
Message from the IWSSD Chairs
10 IWSSD Organizing Committee
Case Study
Reactive and Real-Time Systems / Track I:
Hybrid Model Visualization in Requirements and Design: A Preliminary Investigation / J. Magee ; J. Kramer ; B. Nuseibeh ; D. Bush ; J. Sonander
Problems and Deficiencies of UML as a Requirements Specification Language / M. Glinz
Model Checking Implicit-Invocation Systems / D. Garlan ; S. Khersonsky
Specification and Analysis of Timed and Functional TRMCS Behaviours / M. Cioffi ; F. Corradini
Object-Oriented Modeling and Automated Analysis of a Telemedicine Application / L. A. Campbell ; B. H. C. Cheng
Duration Properties over Real Time System Designs / V. Braberman ; F. Pieniazek
TRMCS in TCOZ / J. Liu ; J. S. Dong ; J. Sun
Quantitative and Non-Functional Qualities / Track II:
The Declarative Problem Frame: Designing Systems that Create and Use Norms / R. J. Wieringa
Superposing Connectors / M. Wermelinger ; A. Lopes ; J. L. Fiadeiro
Issues in Analyzing the Behavior of Event Dispatching Systems / G. Bricconi ; E. Di Nitto ; E. Tracanella
Design and Development Assessment / S. L. Cornford ; M. S. Feather ; J. C. Kelly ; T. W. Larson ; B. Sigal ; J. D. Kiper
Formalising ERP Selection Criteria / X. B. Illa ; X. Franch ; J. A. Pastor
Specification, Safety and Reliability Analysis Using Stochastic Petri Net Models / F. T. Sheldon ; S. Grenier ; M. Benzinger
Software Architecture Analysis Based on Statechart Semantics / M. S. Dias ; M. E. R. Vieira
[In]completeness, [In]consistency and [Sufficient] Correctness
Using XML to Build Consistency Rules for Distributed Specifications / A. Zisman ; W. Emmerich ; A. Finkelstein
Dependency Relations between Models in the Unified Process / C. Pons ; R. Giandini ; G. Baum
An Approach to Preserving Sufficient Correctness in Open Resource Coalitions / O. Raz ; M. Shaw
Formal Tools for Managing Inconsistency and Change in RE / A. K. Ghose
The Complexity of TRMCS-like Spiral Specification / T. Menzies
The Dangerous "All" in Specifications / D. M. Berry ; E. Kamsties
Design Guidance through the Controlled Application of Constraints / A. G. Cass ; L. Osterweil
Author Index
Message from the IWSSD Chairs
10 IWSSD Organizing Committee
Case Study
3.

電子ブック

EB
Asia and South Pacific Design Automation Conference 2000, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , ACM, 2000
所蔵情報: loading…
4.

電子ブック

EB
IPCC 2000, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , IEEE, 2000
所蔵情報: loading…
5.

電子ブック

EB
Ellen M. Sentovich, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , IEEE Press, 2000
所蔵情報: loading…
6.

電子ブック

EB
Charles E. Perkins, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , IEEE, 2000
所蔵情報: loading…
7.

電子ブック

EB
Paul A. Fishwick, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , Society for Computer Simulation International, 2000
所蔵情報: loading…
8.

電子ブック

EB
Jed Donnelley, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , IEEE, 2000
所蔵情報: loading…
9.

電子ブック

EB
ACM Conference on Document Processing Systems, Association for Computing Machinery-Digital Library., SIGGRAPH.
出版情報: ACM Digital Library Proceedings , ACM, 2000
所蔵情報: loading…
10.

電子ブック

EB
UML 2000, Andy Evans, Stuart John Harding Kent, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , Springer Berlin Heidelberg, 2000
所蔵情報: loading…
11.

電子ブック

EB
International Symposium on Handheld and Ubiquitous Computing, Hans-W Gellersen, Peter J. Thomas, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , Springer Berlin Heidelberg, 2000
所蔵情報: loading…
12.

電子ブック

EB
B. Rachev, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , New York, NY : ACM, 2000
所蔵情報: loading…
13.

電子ブック

EB
Association for Computing Machinery-Digital Library.; ACM Special Interest Group on Computer Architecture., Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , IEEE, 2000
所蔵情報: loading…
目次情報: 続きを見る
Introduction
Organizing Committee
Program Committee
Reviewers
Keynote
"New Challenges in Microarchitecture and Compiler Design" / Fred Pollack
Register Allocation and Analysis
Register Queues: A New Hardware/Software Approach To Efficient Software Pipelining / M. Smelyanskiy ; G. Tyson ; E. Davidson
Global Register Partitioning / J. Hiser ; S. Carr ; P. Sweany
Region Formation Analysis with Demand-Driven Inlining for Region-Based Optimization / T. Way ; B. Breech ; L. Pollock
Architectural Design
aSOC: A Scalable, Single-Chip Communications Architecture / J. Liang ; S. Swaminathan ; R. Tessier
Address Partitioning in DSM Clusters with Parallel Coherence Controllers / I. Pragaspathy ; B. Falsafi
Custom Wide Counterflow Pipelines for High-Performance Embedded Applications / B. Childers ; J. Davidson
Optimizations and Opportunities
A Lightweight Algorithm for Dynamic If-Conversion during Dynamic Optimization / K. Hazelwood ; T. Conte
Exploring the Limits of Sub-Word Level Parallelism / K. Scott
The Dynamic Trace Memoization Reuse Technique / A. da Costa ; F. Franca ; E. Filho
Exploring Sub-Block Value Reuse for Superscalar Processors / J. Huang ; D. Lilja
"Dynamic Optimization: An Online Opportunity" / Michael Smith
High Performance Memory Techniques
Hiding Relaxed Memory Consistency with Compilers / J. Lee ; D. Padua
Neighborhood Prefetching on Multiprocessors Using Instruction History / D. Koppelman
Characterization of Silent Stores / G. Bell ; K. Lepak ; M. Lipasti
Speculation and Prediction
On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors / S-J. Lee ; P-C. Yew
A Unified Compiler Framework for Control and Data Speculation / R. Ju ; K. Nomura ; U. Mahadevan ; L-C. Wu
Applying Data Speculation in Modulo Scheduled Loops / R. Hank
Branch Prediction
Branch Prediction in Multi-Threaded Processors / J. Gummaraju ; M. Franklin
The Effect of Code Reordering on Branch Prediction / A. Ramirez ; J. Larriba-Pey ; M. Valero
A Taxonomy of Branch Mispredictions, and Alloyed Prediction as a Robust Solution to Wrong-History Mispredictions / K. Skadron ; M. Martonosi ; D. Clark
Dynamic Branch Prediction for a VLIW Processor / J. Hoogerbrugge
"Blue Gene" / Monty Denneau
Parallel Computation
Fine Grained Multithreading with Process Calculi / L. Lopes ; F. Silva ; V. Vasconcelos
Data Relation Vectors: A New Abstraction for Data Optimizations / M. Kandemir ; J. Ramanujam
Combined Selection of Tile Sizes and Unroll Factors Using Iterative Compilation / T. Kisuki ; P. Knijnenburg ; M. O'Boyle
Applications
Faster FFTs via Architecture-Cognizance / K. Gatlin ; L. Carter
Hybrid Parallel Circuit Simulation Approaches / E. Naroska ; R-J. Shang ; F. Lai ; U. Schwiegelshohn
Multithreaded Programming of PC Clusters / M. Schulz
Instruction Scheduling
A Fast Algorithm for Scheduling Instructions with Deadline Constraints on RISC Processors / H. Wu ; J. Jaffar ; R. Yap
Instruction Scheduling for Clustered VLIW DSPs / R. Leupers
Efficient Backtracking Instruction Schedulers / S. Abraham ; W. Meleis ; I. Baev
Author Index
Introduction
Organizing Committee
Program Committee
14.

電子ブック

EB
Association for Computing Machinery-Digital Library.; ACM Special Interest Group on Design Automation., Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , IEEE, 2000
所蔵情報: loading…
目次情報: 続きを見る
Foreword
Conference Organizers
Program Committee
Reviewers
Sponsoring Societies
Design for Test / Session 1:
Some Experiments in Test Pattern Generation for GPGA-Implemented Combinational Circuits / M. Renovell ; J. Portal ; P. Faure ; J. Figueras ; Y. Zorian
Solving the I/O Bandwidth Problem in System on a Chip Testing / W. Maroufi ; M. Benabdenbi ; M. Marzouki
Testability Properties of Vertex Precedent BDDs / A. Reis ; A Prado ; M. Lubaszewski
ATG-Based Timing Analysis of Circuits Containing Complex Gates / J. Guntzel ; A. Pinto ; E. d'Avila ; R. Reis
Microarchitectures--Architecture / Session 2:
A Bit Scalable Architecture for Fuzzy Processors with Three Inputs and a Flexible Fuzzification Unit / R. d'Amore
Partitioned Branch Condition Resolution Logic / A. Farooqui ; K. Current ; V. Oklobdzija
Synthesis of High Performance Extended Burst Mode Asynchronous State Machines / D. de Oliveira ; M. Strum ; W. Chau ; W. Cunha
Improved IDEA / S. Salomao ; J. Alcantara ; V. Alves ; F. Franca
Logic Design / Session 3:
Revisiting Hamiltonian Decomposition of the Hypercube / K. Okuda ; S. Song
An Input-Output Encoding Approach for Serial Decomposition / V. Muthukumar ; R. Bignall ; H. Selvaraj
Disjunctive Decomposition of Switching Functions Using Symmetry Information / M. Chrzanowska-Jeske ; W. Wang ; J. Xia ; M. Jeske
Methods Based on Petri Net for Resource Sharing Estimation / P. Maciel ; F. Filho ; E. Barros ; W. Rosenstiel
Analog Design / Session 4:
Robust Implementation and Statistical Modeling of a VI-Converter / A. Graupner ; R. Schuffny
Resizing Rules for the Reuse of MOS Analog Designs / C. Galup-Montoro ; M. Schneider
Analysis and Design of a Family of Low-Power Class AB Operational Amplifiers / F. Silveira ; D. Flandre
A Generator of Trapezoidal Association of Transistors (TAT): Improving Analog Circuits in a Pre-Diffused Transistor Array / A. Aita ; S. Bampi ; J. Choi
High Level Synthesis / Session 5:
Address Satisfaction for Storage Files with Fifos or Stacks during Scheduling of DSP Algorithms / C. Pinto ; K. van Eijk ; B. Mesman ; J. Jess
Register Binding for Predicated Execution in DSP Applications / Q. Zhao ; C. van Eijk
A Data Path Synthesis Method to Self-Testable Application Specific Integrated Circuit (ASIC) / J. Costa ; J. Neto
From a Hyperdocument-Centric to an Object-Oriented Approach for the Cave Project / L. Indrusiak
Physical Design / Session 6:
WTROPIC: A WWW-Based Macro-Cell Generator / J. Fragoso ; F. Moraes
Modular Exponentiation on Fine-Grained FPGA / A. Tiountchik ; E. Trichina
Net by Net Routing with a New Path Search Algorithm / M. Johann
Digital Circuit Design Based on the Resonant-Tunneling-Hetero-Junction-Bipolar-Transistor / P. Glosekotter ; C. Pacha ; K. Goser ; G. Wirth ; W. Prost ; U. Auer ; M. Agethen ; P. Velling ; F. Tegude
System Level Design / Session 7:
On the Choice of Models of Computation for Writing Executable Specifications of System Level Designs / I. Jeukens
Functional Redundancy for Dynamic Exploitation of Performance-Energy Consumption Trade-Offs / V. Ferreira ; H. Yasuura
Modeling an E1/TU12 Mapper for SDH Systems / R. Silveira ; W. Van Noije
JPEG Decoding in an Electronic Voting Machine / R. Jacobi ; F. Trindade ; J. de Carvalho ; R. Cantanhede
Industrial Applications/Applications of FPGAs / Session 8:
An FPGA Implementation of the ATM Layer / J. de Lima ; E. Melchier ; H. da Silva
Prototyping a Pager-Like Device Using FPGAs: Design of an Object Finder / G. Vasquez ; S. Barbin
Jet Determination in Liquid Argon Calorimeters Using a Heavily Interconnected System of Field Programmable Gate Arrays / B. Dulny ; J. Fent ; W. Haberer ; C. Kiesling ; A. Osthoff
Prototyping of a Biologically-Plausible Vision System for Robotic Applications / R. Zapata ; P. Lepinay ; L. Torres ; J. Droulez ; V. Creuze
Digital Design / Session 9:
Hybrid Latch Flip-Flop with Improved Power Efficiency / N. Nedovic
SisECO: Design of an Echo-Canceling IC for Base Band Modens / L. Agostini ; G. Stemmer ; A. Prado ; R. Pacheco ; T. Campos
Modeling of Short Circuit Power Consumption Using Timing-Only Logic Cell Macromodels / E. da Costa ; F. Cortes ; R. Cardoso ; L. Carro
The Use of Extended TSPC CMOS Structures to Build Circuits with Doubled Input/Output Data Throughput / J. Navarro
Fault Tolerant Design / Session 10:
Evaluation of Soft Error Tolerance Technique Based on Time and/or Space Redundancy / L. Anghel ; D. Alexandrescu ; M. Nicolaidis
Optimized Generation of VHDL Mutants for Injection of Transition Errors / R. Leveugle ; K. Hadjiat
Recent Improvements on the Specification of Transient-Fault Tolerant VHDL Descriptions: A Case-Study for Area Overhead Analysis / F. Vargas ; A. Amory
Designing a Radiation Hardened 8051-Like Micro-Controller / F. de Lima ; E. Cota ; R. Velazco ; S. Rezgui
Formal Methods and H/S Co-Design / Session 11:
JADE: An Embedded Systems Specification, Code Generation and Optimization Tool / C. Pereira ; R. Duarte ; C. Coelho, Jr. ; D. da Silva, Jr. ; A. Fernandes ; L. Ambrosio ; L. Canaan
An ACL2 Model of VHDL for Symbolic Simulation and Formal Verification / V. Rodrigues ; D. Borrione ; P. Georgelin
A New Approach to Solving the Hardware-Software Partitioning Problem in Embedded System Design / D. Engels ; S. Devadas
Design of a Classification System for Rectangular Shapes Using a Co-Design Environment / R. Molz ; P. Engel ; M. Robert
Analog and Mixed-Signal Design / Session 12:
Fault Models and Compact Test Vectors for MOS OpAmp Circuits / J. Calvano ; A. Mesquita
Toward Analog Circuit Synthesis: A Global Methodology Based upon Design of Experiments / Y. Deval ; J-B. Begueret ; J. Tomas ; P. Fouillat
A JAVA-Based Mixed-Signal Design Environment / J. Mades ; T. Schneider ; M. Glesner ; A. Windisch ; W. Ecker
Testing Mixed-Signal Cores / G. Huertas ; D. Vazquez ; E. Peralias ; A. Rueda ; J. Huertas
Physical Modeling / Session 13:
What is the Appropriate Model for Crosstalk Control? / L. Scheffer
Efficient vMOS Realization of Threshold Voters for Self-Purging Redundancy / J. Quintana ; M. Avedillo ; E. Rodriguez-Villegas
LASCA--Interconnect Parasitic Extraction Tool for Deep-Submicron IC Design / F. Ferreira
An Integrated Circuit for the in situ Characterization of CMOS Post-Process Micromachining / B. Warneke ; K. Pister
Reconfigurable Hardware / Session 14:
An Application-Tailored Dynamically Reconfigurable Hardware Architecture for Digital Baseband Processing / J. Becker ; T. Pionteck
Exploiting FPGA-Based Architectures and Design Tools for Problems of Reconfigurable Computations / I. Skliarova ; A. Ferrari
Synthesis of Control Circuits with Dynamically Modifiable Behavior on the Basis of Statically Reconfigurable FPGAs / V. Sklyarov
Implementation of Cryptographic Applications on the Reconfigurable FPGA Coprocessor microEnable / H. Singpiel ; H. Simmler ; A. Kugel ; R. Manner ; A. Vieira ; F. Galvez-Durand
Low-Power, Low-Voltage / Session 15:
Limits to Voltage Scaling from the Low Power Perspective / A. Forestier ; M. Stan
Adaptive Partial Businvert Encoding for Power-Efficient Data Transfer over Wide System Buses / C. Kretzschmar ; R. Siegmund ; D. Muller
Energy-Efficient Register Access / J. Tseng ; K. Asanovic
Embedded Systems / Session 16:
Design and Simulation of Heterogenous Embedded Systems / K. Mueller-Glaser ; S. Schmerler ; W. Stork ; A. Wagner ; J. Drescher ; M. Kuehl
A Comparison of OO and Reactive Based Specifications on the Design of Embedded Systems / S. Ito ; J. Mattos ; S. Toscani
A Comparison of Microcontrollers Targeted to FPGA-Based Embedded Applications
Author Index
Foreword
Conference Organizers
Program Committee
15.

電子ブック

EB
David Shmoys, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , Society for Industrial and Applied Mathematics, 2000
所蔵情報: loading…
目次情報: 続きを見る
Preface
Acknowledgments
[varepsilon]-Approximate Linear Progams: New Bounds and Computation / Daniel BienstockSession 1A:
Orthogonal Graph Drawing with Constraints / Markus Eiglsperger ; Ulrich Fo[sz ligature]meier ; Michael Kaufmann
Fast Practical Solution of Sorting by Reversals / Alberto Caprara ; Giuseppe Lancia ; See Kiong Ng
Commuting with Delay Prone Buses / Mayur Datar ; Abhiram Ranade
Coloring Non-Uniform Hypergraphs: A New Algorithmic Approach to the General Lovasz Local Lemma / Artur Czumaj ; Christian ScheidelerSession 1B:
On the Complexity of Bicoloring Clique Hypergraphs of Graphs / J. Kratochvil ; Zs. Tuza
Weakly Chordal Graph Algorithms via Handles / Ryan B. Hayward ; Jeremy Spinrad ; R. Sritharan
Recognizing Dart-Free Perfect Graphs / V. Chvatal ; J. Fonlupt ; L. Sun ; A. Zemirline
An Optimal Algorithm for Hyperplane Depth in the Plane / Stefan Langerman ; William SteigerSession 1C:
On Heilbronn's Problem in Higher Dimension / Hanno Lefmann
Finding Minimal Triangulations of Convex 3-Polytopes Is NP-Hard / Alexander Below ; Jesus A. De Loera ; Jurgen Richter-Gebert
A Point-Placement Strategy for Conforming Delaunay Tetrahedralization / Michael Murphy ; David M. Mount ; Carl W. Gable
Digraph Minors and Algorithms / Robin ThomasSession 2:
Cooperative Facility Location Games / Michel X. Goemans ; Martin SkutellaSession 3A:
K-Medians, Facility Location, and the Chernoff-Wald Bound / Neal E. Young
Improved Approximation Algorithms for MAX SAT / Takao Asano ; David P. Williamson
Strengthening Integrality Gaps for Capacitated Network Design and Covering Problems / Robert D. Carr ; Lisa K. Fleischer ; Vitus J. Leung ; Cynthia A. Phillips
Towards a 4/3 Approximation for the Asymmetric Traveling Salesman Problem / Robert Carr ; Santosh Vempala
Typical Random 3-SAT Formulae and the Satisfiability Threshold / Olivier Dubois ; Yacine Boufkhad ; Jacques MandlerSession 3B:
A Lower Bound for DLL Algorithms for k-SAT / Pavel Pudlak ; Russell Impagliazzo
On Permutations with Limited Independence / Toshiya Itoh ; Yoshinori Takei ; Jun Tarui
Min-Wise Versus Linear Independence / Andrei Z. Broder ; Uriel Feige
Hamiltonicity and Colorings of Arrangement Graphs / Stefan Felsner ; Ferran Hurtado ; Marc Noy ; Ileana Streinu
Testing and Spot-Checking of Data Streams / J. Feigenbaum ; S. Kannan ; M. Strauss ; M. ViswanathanSession 3C:
Engineering the Compression of Massive Tables: An Experimental Approach / Adam L. Buchsbaum ; Donald F. Caldwell ; Kenneth W. Church ; Glenn S. Fowler ; S. Muthukrishnan
On the Temporal HZY Compression Scheme / Z. Cohen ; Y. Matias ; S. C. Sahinalp ; J. Ziv
Height in a Digital Search Tree and the Longest Phrase of the Lempel-Ziv Scheme / Charles Knessl ; Wojciech Szpankowski
Communication Complexity of Document Exchange / Graham Cormode ; Mike Paterson ; Suleyman Cenk Sahinalp ; Uzi Vishkin
Scheduling a Pipelined Operator Graph / Petra Schuurman ; Gerhard J. WoegingerSession 4A:
A PTAS for the Multiple Knapsack Problem / Chandra Chekuri ; Sanjeev Khanna
Approximation Algorithms for Data Placement on Parallel Disks / L. Golubchik ; S. Khanna ; S. Khuller ; R. Thurimella ; A. Zhu
Movement Minimization in Conveyor Flow Shop Processing / W. Espelage ; E. Wanke
Forcing Relations for AND/OR Precedence Constraints / Rolf H. Mohring ; Frederik Stork
The Interlace Polynomial: A New Graph Polynomial / Richard Arratia ; Bela Bollobas ; Gregory B. SorkinSession 4B:
The Complexity of Counting Graph Homomorphisms / Martin Dyer ; Catherine Greenhill
A Fast Algorithm to Generate Unlabeled Necklaces / Frank Ruskey ; Joe Sawada
Construction of Visual Secret Sharing Schemes with Almost Optimal Contrast / Christian Kuhlmann ; Hans Ulrich Simon
Sharing One Secret vs. Sharing Many Secrets: Tight Bounds on the Average Improvement Ratio / Giovanni Di Crescenzo
Algorithmic Strategies in Combinatorial Chemistry / Deborah Goldman ; Sorin Istrail ; Antonio Piccolboni ; Brian WalenzSession 4C:
Computing the Quartet Distance Between Evolutionary Trees / David Bryant ; John Tsang ; Paul Kearney ; Ming Li
A Practical Algorithm for Recovering the Best Supported Edges of an Evolutionary Tree / Vincent Berry ; Tao Jiang ; Todd Wareham ; Haoyong Zhang
Pattern Discovery on Character Sets and Real-Valued Data: Linear Bound on Irredundant Motifs and an Efficient Polynomial Time Algorithm / Laxmi Parida ; Isidore Rigoutsos ; Aris Floratos ; Dan Platt ; Yuan Gao
Improved Bounds on the Sample Complexity of Learning / Yi Li ; Philip M. Long ; Aravind Srinivasan
On Local Search and Placement of Meters in Networks / Samir Khuller ; Randeep Bhatia ; Robert PlessSession 5A:
Improved Approximation Algorithms for the Vertex Cover Problem in Graphs and Hypergraphs / Eran Halperin
An Approximation Algorithm for the Covering Steiner Problem / Goran Konjevod ; R. Ravi
On the Red-Blue Set Cover Problem / Srinivas Doddi ; Madhav Marathe
Approximate Congruence In Nearly Linear Time / Piotr Indyk ; Suresh VenkatasubramanianSession 5B:
Locally Lifting the Curse of Dimensionality for Nearest Neighbor Search / Peter N. Yianilos
Dimensionality Reduction Techniques for Proximity Problems
Expected-Case Complexity of Approximate Nearest Neighbor Searching / Sunil Arya ; Ho-Yam Addy Fu
A Dynamic Programming Approach to De Novo Peptide Sequencing via Tandem Mass Spectrometry / Ting Chen ; Ming-Yang Kao ; Matthew Tepel ; John Rush ; George M. ChurchSession 5C:
Algorithms for Optimizing Production DNA Sequencing / Eva Czabarka ; Madhav V. Marathe ; Allon G. Percus ; David C. Torney
Estimating DNA Sequence Entropy / J. Kevin Lanctot ; En-hui Yang
Selective Mapping: A Discrete Optimization Approach to Selecting a Population Subset for Use in a High-Density Genetic Mapping Project / Daniel G. Brown ; Todd J. Vision ; Steven D. Tanksley
Cutting Planes and the Traveling Salesman Problem / D. Applegate ; R. Bixby ; W. CookSession 6:
Caching in Networks / Friedhelm Meyer auf der Heide ; Berthold Vocking ; Matthias WestermannSession 7A:
Instability of FIFO in Session-Oriented Networks / Matthew Andrews
The Effects of Temporary Sessions on Network Performance / Lisa Zhang
Randomized Greedy Hot-Potato Routing / Costas Busch ; Maurice Herlihy ; Roger Wattenhofer
On Deciding Stability of Scheduling Policies in Queueing Systems / David Gamarnik
Restructuring Ordered Binary Trees / William Evans ; David KirkpatrickSession 7B:
Faster Deterministic Dictionaries / Rasmus Pagh
Competitive Tree-Structured Dictionaries / Michael T. Goodrich
Even Strongly Universal Hashing Is Pretty Fast / Mikkel Thorup
Word Encoding Tree Connectivity Works / Stephen Alstrup ; Jens Peter Secher
Algorithms for Minimum Volume Enclosing Simplex in R[superscript 3] / Yunhong Zhou ; Subhash SuriSession 7C:
Exact and Approximation Algorithms for Minimum-Width Cylindrical Shells / Pankaj K. Agarwal ; Boris Aronov ; Micha Sharir
Evaluating the Cylindricity of a Nominally Cylindrical Point Set / Olivier Devillers ; Franco P. Preparata
Approximation Algorithms for Layered Manufacturing / Pavan K. Desikan
Approximation Algorithms for Projective Clustering / Cecilia M. Procopiuc
Scheduling to Minimize Average Stretch Without Migration / Luca Becchetti ; Stefano LeonardiSession 8A:
Minimizing Maximum Response Time in Scheduling Broadcasts / Yair Bartal
Applying Extra-Resource Analysis to Load Balancing / Mark Brehob ; Eric Torng ; Patchrawat Uthaisombut
Balancing Steiner Trees and Shortest Path Trees Online / Ashish Goel ; Kamesh Munagala
Generating Adversaries for Request-Answer Games / Todd Gormley ; Nicholas Reingold ; Jeffery Westbrook
Maintaining Hierarchical Graph Views / Jeffrey R. WestbrookSession 8B:
Improved Classification via Connectivity Information / Robert Krauthgamer ; Michael Mitzenmacher
Efficient Dynamic Traitor Tracing / Omer Berkman ; Michal Parnas ; Jiri Sgall
Watermarking Maps: Hiding Information in Structured Data / Francis Zane
Strictly Non-Blocking WDM Cross-Connects / April Rasala ; Gordon Wilfong
An Extension of Path Coupling and Its Application to the Glauber Dynamics for Graph Colourings / Leslie Ann Goldberg ; Mark JerrumSession 8C:
A Faster Method for Sampling Independent Sets / Mark Huber
Strong Bias of Group Generators: An Obstacle to the "Product Replacement Algorithm" / Laszlo Babai ; Igor Pak
Random Three-Dimensional Tilings of Aztec Octahedra and Tetrahedra: An Extension of Domino Tilings / Dana Randall ; Gary Yngve
An Algebraic Method to Compute a Shortest Path of Local Flips Between Two Tilings / Eric Remila
Coloring Powers of Planar Graphs / Geir Agnarsson ; Magnus M. HalldorssonSession 9A:
Directed Network Design with Orientation Constraints / Joseph (Seffi) Naor ; F. Bruce Shepherd
A (2 + [varepsilon])-Approximation Scheme for Minimum Domination on Circle Graphs / Mirela Damian-lordache ; Sriram V. Pemmaraju
An Approximation Algorithm for Finding a Long Path in Hamiltonian Graphs / Sundar Vishwanathan
TSP-Based Curve Reconstruction in Polynomial Time / Ernst Althaus ; Kurt MehlhornSession 9B:
A Tree-Edit-Distance Algorithm for Comparing Simple, Closed Shapes / Philip Klein ; Srikanta Tirthapura ; Daniel Sharvit ; Ben Kimia
Computing the Arrangement of Curve Segments: Divide-and-Conquer Algorithms via Sampling / Nancy M. Amato ; Edgar A. Ramos
Optimizing the Sum of Linear Fractional Functions and Applications / Danny Z. Chen ; Ovidiu Daescu ; Yang Dai ; Naoki Katoh ; Xiaodong Wu ; Jinhui Xu
Edge-Disjoint Paths in Expander Graphs / Alan M. FriezeSession 9C:
Escaping a Grid by Edge-Disjoint Paths / Wun-Tat Chan ; Francis Y. L. Chin ; Hing-Fung Ting
Fast Randomized Algorithms for Computing Minimum (3,4,5,6)-Way Cuts / Matthew S. Levine
Adaptive Set Intersections, Unions, and Differences / Erik D. Demaine ; Alejandro Lopez-Ortiz ; J. Ian Munro
The Whole Genome Assembly of Drosophila / Gene MyersSession 10:
A 2 + [varepsilon] Approximation Algorithm for the k-MST Problem / Sanjeev Arora ; George KarakostasSession 11A:
The Prize Collecting Steiner Tree Problem: Theory and Practice / David S. Johnson ; Maria Minkoff ; Steven Phillips
Improved Steiner Tree Approximation in Graphs / Gabriel Robins ; Alexander Zelikovsky
The Rectilinear Steiner Arborescence Problem Is NP-Complete / Weiping Shi ; Chen Su
Improved Bandwidth Approximation for Trees / Anupam Gupta
Faster Algorithms for String Matching with k Mismatches / Amihood Amir ; Moshe Lewenstein ; Ely PoratSession 11B:
On the Shared Substring Alignment Problem / Gad M. Landau ; Michal Ziv-Ukelson
Real Scaled Matching / Ayelet Butman
Inplace Run-Length 2d Compressed Search / Dina Sokol
Pattern Matching in Dynamic Texts / Gerth Stolting Brodal ; Theis Rauhe
Towards a Theory of Cache-Efficient Algorithms / Sandeep Sen ; Siddhartha ChatterjeeSession 11C:
Efficient Bundle Sorting / Yossi Matias ; Eran Segal ; Jeffrey Scott Vitter
Fast Concurrent Access to Parallel Disks / Peter Sanders ; Sebastian Egner ; Jan Korst
On External Memory Graph Traversal / Michael Goldwasser ; Jeffery R. Westbrook
Deterministic Broadcasting in Unknown Radio Networks / Bogdan S. Chlebus ; Leszek Gasieniec ; Alan Gibbons ; Andrzej Pelc ; Wojciech Rytter
New and Improved Algorithms for Minsum Shop Scheduling / Maurice Queyranne ; Maxim SviridenkoSession 12A:
Off-Line Admission Control for General Scheduling Problems / R. N. Uma ; Joel Wein
Approximating the Maximum Quadratic Assignment Problem / Esther M. Arkin ; Refael Hassin
Accurate Approximations for Asian Options / Donald Aingworth ; Rajeev Motwani ; Jeffrey D. Oldham
Finite-Resolution Hidden Surface Removal / Jeff EricksonSession 12B:
On Incremental Rendering of Silhousette Maps of a Polyhedral Scene / Alon Efrat ; Leonidas J. Guibas ; Olaf A. Hall-Holt ; Li Zhang
Computing Contour Trees in All Dimensions / Hamish Carr ; Jack Snoeyink ; Ulrike Axen
Sweeping Simple Polygons with a Chain of Guards / Sariel Har-Peled ; David C. Lin ; Joseph S. B. Mitchell ; T. M. Murali
Finding the Closest Lattice Vector When It's Unusually Close / Session 12C:
A New Bound for the Caratheodory Rank of the Bases of a Matroid / J. C. de Pina ; J. Soares
Minimum Ratio Canceling Is Oracle Polynomial for Linear Programming, But Not Strongly Polynomial, Even for Networks / S. Thomas McCormick ; Akiyoshi Shioura
Nearly Optimal Computations with Structured Matrices / Victor Y. Pan
Author Index
Preface
Acknowledgments
[varepsilon]-Approximate Linear Progams: New Bounds and Computation / Daniel BienstockSession 1A:
16.

電子ブック

EB
IFIP/ACM International Conference on Distributed Systems Platforms, Geoff Coulson, Joseph Sventek, Association for Computing Machinery-Digital Library.
出版情報: ACM Digital Library Proceedings , Springer Berlin Heidelberg, 2000
所蔵情報: loading…
17.

電子ブック

EB
International Symposium on Handheld and Ubiquitous Computing, Hans-W Gellersen, Peter J. Thomas, Association for Computing Machinery-Digital Library.
出版情報: SpringerLink Books - AutoHoldings , Springer Berlin Heidelberg, 2000
所蔵情報: loading…
18.

電子ブック

EB
IFIP/ACM International Conference on Distributed Systems Platforms, Geoff Coulson, Joseph Sventek, Association for Computing Machinery-Digital Library.
出版情報: SpringerLink Books - AutoHoldings , Springer Berlin Heidelberg, 2000
所蔵情報: loading…
19.

電子ブック

EB
UML 2000, Andy Evans, Stuart John Harding Kent, Association for Computing Machinery-Digital Library.
出版情報: SpringerLink Books - AutoHoldings , Springer Berlin Heidelberg, 2000
所蔵情報: loading…
20.

電子ブック

EB
Charles E. Perkins, Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
21.

電子ブック

EB
IPCC 2000, Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
22.

電子ブック

EB
Association for Computing Machinery-Digital Library.; ACM Special Interest Group on Computer Architecture., Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
目次情報: 続きを見る
Introduction
Organizing Committee
Program Committee
Reviewers
Keynote
"New Challenges in Microarchitecture and Compiler Design" / Fred Pollack
Register Allocation and Analysis
Register Queues: A New Hardware/Software Approach To Efficient Software Pipelining / M. Smelyanskiy ; G. Tyson ; E. Davidson
Global Register Partitioning / J. Hiser ; S. Carr ; P. Sweany
Region Formation Analysis with Demand-Driven Inlining for Region-Based Optimization / T. Way ; B. Breech ; L. Pollock
Architectural Design
aSOC: A Scalable, Single-Chip Communications Architecture / J. Liang ; S. Swaminathan ; R. Tessier
Address Partitioning in DSM Clusters with Parallel Coherence Controllers / I. Pragaspathy ; B. Falsafi
Custom Wide Counterflow Pipelines for High-Performance Embedded Applications / B. Childers ; J. Davidson
Optimizations and Opportunities
A Lightweight Algorithm for Dynamic If-Conversion during Dynamic Optimization / K. Hazelwood ; T. Conte
Exploring the Limits of Sub-Word Level Parallelism / K. Scott
The Dynamic Trace Memoization Reuse Technique / A. da Costa ; F. Franca ; E. Filho
Exploring Sub-Block Value Reuse for Superscalar Processors / J. Huang ; D. Lilja
"Dynamic Optimization: An Online Opportunity" / Michael Smith
High Performance Memory Techniques
Hiding Relaxed Memory Consistency with Compilers / J. Lee ; D. Padua
Neighborhood Prefetching on Multiprocessors Using Instruction History / D. Koppelman
Characterization of Silent Stores / G. Bell ; K. Lepak ; M. Lipasti
Speculation and Prediction
On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors / S-J. Lee ; P-C. Yew
A Unified Compiler Framework for Control and Data Speculation / R. Ju ; K. Nomura ; U. Mahadevan ; L-C. Wu
Applying Data Speculation in Modulo Scheduled Loops / R. Hank
Branch Prediction
Branch Prediction in Multi-Threaded Processors / J. Gummaraju ; M. Franklin
The Effect of Code Reordering on Branch Prediction / A. Ramirez ; J. Larriba-Pey ; M. Valero
A Taxonomy of Branch Mispredictions, and Alloyed Prediction as a Robust Solution to Wrong-History Mispredictions / K. Skadron ; M. Martonosi ; D. Clark
Dynamic Branch Prediction for a VLIW Processor / J. Hoogerbrugge
"Blue Gene" / Monty Denneau
Parallel Computation
Fine Grained Multithreading with Process Calculi / L. Lopes ; F. Silva ; V. Vasconcelos
Data Relation Vectors: A New Abstraction for Data Optimizations / M. Kandemir ; J. Ramanujam
Combined Selection of Tile Sizes and Unroll Factors Using Iterative Compilation / T. Kisuki ; P. Knijnenburg ; M. O'Boyle
Applications
Faster FFTs via Architecture-Cognizance / K. Gatlin ; L. Carter
Hybrid Parallel Circuit Simulation Approaches / E. Naroska ; R-J. Shang ; F. Lai ; U. Schwiegelshohn
Multithreaded Programming of PC Clusters / M. Schulz
Instruction Scheduling
A Fast Algorithm for Scheduling Instructions with Deadline Constraints on RISC Processors / H. Wu ; J. Jaffar ; R. Yap
Instruction Scheduling for Clustered VLIW DSPs / R. Leupers
Efficient Backtracking Instruction Schedulers / S. Abraham ; W. Meleis ; I. Baev
Author Index
Introduction
Organizing Committee
Program Committee
23.

電子ブック

EB
Association for Computing Machinery-Digital Library.; ACM Special Interest Group on Design Automation., Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
目次情報: 続きを見る
Foreword
Conference Organizers
Program Committee
Reviewers
Sponsoring Societies
Design for Test / Session 1:
Some Experiments in Test Pattern Generation for GPGA-Implemented Combinational Circuits / M. Renovell ; J. Portal ; P. Faure ; J. Figueras ; Y. Zorian
Solving the I/O Bandwidth Problem in System on a Chip Testing / W. Maroufi ; M. Benabdenbi ; M. Marzouki
Testability Properties of Vertex Precedent BDDs / A. Reis ; A Prado ; M. Lubaszewski
ATG-Based Timing Analysis of Circuits Containing Complex Gates / J. Guntzel ; A. Pinto ; E. d'Avila ; R. Reis
Microarchitectures--Architecture / Session 2:
A Bit Scalable Architecture for Fuzzy Processors with Three Inputs and a Flexible Fuzzification Unit / R. d'Amore
Partitioned Branch Condition Resolution Logic / A. Farooqui ; K. Current ; V. Oklobdzija
Synthesis of High Performance Extended Burst Mode Asynchronous State Machines / D. de Oliveira ; M. Strum ; W. Chau ; W. Cunha
Improved IDEA / S. Salomao ; J. Alcantara ; V. Alves ; F. Franca
Logic Design / Session 3:
Revisiting Hamiltonian Decomposition of the Hypercube / K. Okuda ; S. Song
An Input-Output Encoding Approach for Serial Decomposition / V. Muthukumar ; R. Bignall ; H. Selvaraj
Disjunctive Decomposition of Switching Functions Using Symmetry Information / M. Chrzanowska-Jeske ; W. Wang ; J. Xia ; M. Jeske
Methods Based on Petri Net for Resource Sharing Estimation / P. Maciel ; F. Filho ; E. Barros ; W. Rosenstiel
Analog Design / Session 4:
Robust Implementation and Statistical Modeling of a VI-Converter / A. Graupner ; R. Schuffny
Resizing Rules for the Reuse of MOS Analog Designs / C. Galup-Montoro ; M. Schneider
Analysis and Design of a Family of Low-Power Class AB Operational Amplifiers / F. Silveira ; D. Flandre
A Generator of Trapezoidal Association of Transistors (TAT): Improving Analog Circuits in a Pre-Diffused Transistor Array / A. Aita ; S. Bampi ; J. Choi
High Level Synthesis / Session 5:
Address Satisfaction for Storage Files with Fifos or Stacks during Scheduling of DSP Algorithms / C. Pinto ; K. van Eijk ; B. Mesman ; J. Jess
Register Binding for Predicated Execution in DSP Applications / Q. Zhao ; C. van Eijk
A Data Path Synthesis Method to Self-Testable Application Specific Integrated Circuit (ASIC) / J. Costa ; J. Neto
From a Hyperdocument-Centric to an Object-Oriented Approach for the Cave Project / L. Indrusiak
Physical Design / Session 6:
WTROPIC: A WWW-Based Macro-Cell Generator / J. Fragoso ; F. Moraes
Modular Exponentiation on Fine-Grained FPGA / A. Tiountchik ; E. Trichina
Net by Net Routing with a New Path Search Algorithm / M. Johann
Digital Circuit Design Based on the Resonant-Tunneling-Hetero-Junction-Bipolar-Transistor / P. Glosekotter ; C. Pacha ; K. Goser ; G. Wirth ; W. Prost ; U. Auer ; M. Agethen ; P. Velling ; F. Tegude
System Level Design / Session 7:
On the Choice of Models of Computation for Writing Executable Specifications of System Level Designs / I. Jeukens
Functional Redundancy for Dynamic Exploitation of Performance-Energy Consumption Trade-Offs / V. Ferreira ; H. Yasuura
Modeling an E1/TU12 Mapper for SDH Systems / R. Silveira ; W. Van Noije
JPEG Decoding in an Electronic Voting Machine / R. Jacobi ; F. Trindade ; J. de Carvalho ; R. Cantanhede
Industrial Applications/Applications of FPGAs / Session 8:
An FPGA Implementation of the ATM Layer / J. de Lima ; E. Melchier ; H. da Silva
Prototyping a Pager-Like Device Using FPGAs: Design of an Object Finder / G. Vasquez ; S. Barbin
Jet Determination in Liquid Argon Calorimeters Using a Heavily Interconnected System of Field Programmable Gate Arrays / B. Dulny ; J. Fent ; W. Haberer ; C. Kiesling ; A. Osthoff
Prototyping of a Biologically-Plausible Vision System for Robotic Applications / R. Zapata ; P. Lepinay ; L. Torres ; J. Droulez ; V. Creuze
Digital Design / Session 9:
Hybrid Latch Flip-Flop with Improved Power Efficiency / N. Nedovic
SisECO: Design of an Echo-Canceling IC for Base Band Modens / L. Agostini ; G. Stemmer ; A. Prado ; R. Pacheco ; T. Campos
Modeling of Short Circuit Power Consumption Using Timing-Only Logic Cell Macromodels / E. da Costa ; F. Cortes ; R. Cardoso ; L. Carro
The Use of Extended TSPC CMOS Structures to Build Circuits with Doubled Input/Output Data Throughput / J. Navarro
Fault Tolerant Design / Session 10:
Evaluation of Soft Error Tolerance Technique Based on Time and/or Space Redundancy / L. Anghel ; D. Alexandrescu ; M. Nicolaidis
Optimized Generation of VHDL Mutants for Injection of Transition Errors / R. Leveugle ; K. Hadjiat
Recent Improvements on the Specification of Transient-Fault Tolerant VHDL Descriptions: A Case-Study for Area Overhead Analysis / F. Vargas ; A. Amory
Designing a Radiation Hardened 8051-Like Micro-Controller / F. de Lima ; E. Cota ; R. Velazco ; S. Rezgui
Formal Methods and H/S Co-Design / Session 11:
JADE: An Embedded Systems Specification, Code Generation and Optimization Tool / C. Pereira ; R. Duarte ; C. Coelho, Jr. ; D. da Silva, Jr. ; A. Fernandes ; L. Ambrosio ; L. Canaan
An ACL2 Model of VHDL for Symbolic Simulation and Formal Verification / V. Rodrigues ; D. Borrione ; P. Georgelin
A New Approach to Solving the Hardware-Software Partitioning Problem in Embedded System Design / D. Engels ; S. Devadas
Design of a Classification System for Rectangular Shapes Using a Co-Design Environment / R. Molz ; P. Engel ; M. Robert
Analog and Mixed-Signal Design / Session 12:
Fault Models and Compact Test Vectors for MOS OpAmp Circuits / J. Calvano ; A. Mesquita
Toward Analog Circuit Synthesis: A Global Methodology Based upon Design of Experiments / Y. Deval ; J-B. Begueret ; J. Tomas ; P. Fouillat
A JAVA-Based Mixed-Signal Design Environment / J. Mades ; T. Schneider ; M. Glesner ; A. Windisch ; W. Ecker
Testing Mixed-Signal Cores / G. Huertas ; D. Vazquez ; E. Peralias ; A. Rueda ; J. Huertas
Physical Modeling / Session 13:
What is the Appropriate Model for Crosstalk Control? / L. Scheffer
Efficient vMOS Realization of Threshold Voters for Self-Purging Redundancy / J. Quintana ; M. Avedillo ; E. Rodriguez-Villegas
LASCA--Interconnect Parasitic Extraction Tool for Deep-Submicron IC Design / F. Ferreira
An Integrated Circuit for the in situ Characterization of CMOS Post-Process Micromachining / B. Warneke ; K. Pister
Reconfigurable Hardware / Session 14:
An Application-Tailored Dynamically Reconfigurable Hardware Architecture for Digital Baseband Processing / J. Becker ; T. Pionteck
Exploiting FPGA-Based Architectures and Design Tools for Problems of Reconfigurable Computations / I. Skliarova ; A. Ferrari
Synthesis of Control Circuits with Dynamically Modifiable Behavior on the Basis of Statically Reconfigurable FPGAs / V. Sklyarov
Implementation of Cryptographic Applications on the Reconfigurable FPGA Coprocessor microEnable / H. Singpiel ; H. Simmler ; A. Kugel ; R. Manner ; A. Vieira ; F. Galvez-Durand
Low-Power, Low-Voltage / Session 15:
Limits to Voltage Scaling from the Low Power Perspective / A. Forestier ; M. Stan
Adaptive Partial Businvert Encoding for Power-Efficient Data Transfer over Wide System Buses / C. Kretzschmar ; R. Siegmund ; D. Muller
Energy-Efficient Register Access / J. Tseng ; K. Asanovic
Embedded Systems / Session 16:
Design and Simulation of Heterogenous Embedded Systems / K. Mueller-Glaser ; S. Schmerler ; W. Stork ; A. Wagner ; J. Drescher ; M. Kuehl
A Comparison of OO and Reactive Based Specifications on the Design of Embedded Systems / S. Ito ; J. Mattos ; S. Toscani
A Comparison of Microcontrollers Targeted to FPGA-Based Embedded Applications
Author Index
Foreword
Conference Organizers
Program Committee
24.

電子ブック

EB
Association for Computing Machinery-Digital Library.; ACM Special Interest Group on Software Engineering., Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
目次情報: 続きを見る
Message from the IWSSD Chairs
10 IWSSD Organizing Committee
Case Study
Reactive and Real-Time Systems / Track I:
Hybrid Model Visualization in Requirements and Design: A Preliminary Investigation / J. Magee ; J. Kramer ; B. Nuseibeh ; D. Bush ; J. Sonander
Problems and Deficiencies of UML as a Requirements Specification Language / M. Glinz
Model Checking Implicit-Invocation Systems / D. Garlan ; S. Khersonsky
Specification and Analysis of Timed and Functional TRMCS Behaviours / M. Cioffi ; F. Corradini
Object-Oriented Modeling and Automated Analysis of a Telemedicine Application / L. A. Campbell ; B. H. C. Cheng
Duration Properties over Real Time System Designs / V. Braberman ; F. Pieniazek
TRMCS in TCOZ / J. Liu ; J. S. Dong ; J. Sun
Quantitative and Non-Functional Qualities / Track II:
The Declarative Problem Frame: Designing Systems that Create and Use Norms / R. J. Wieringa
Superposing Connectors / M. Wermelinger ; A. Lopes ; J. L. Fiadeiro
Issues in Analyzing the Behavior of Event Dispatching Systems / G. Bricconi ; E. Di Nitto ; E. Tracanella
Design and Development Assessment / S. L. Cornford ; M. S. Feather ; J. C. Kelly ; T. W. Larson ; B. Sigal ; J. D. Kiper
Formalising ERP Selection Criteria / X. B. Illa ; X. Franch ; J. A. Pastor
Specification, Safety and Reliability Analysis Using Stochastic Petri Net Models / F. T. Sheldon ; S. Grenier ; M. Benzinger
Software Architecture Analysis Based on Statechart Semantics / M. S. Dias ; M. E. R. Vieira
[In]completeness, [In]consistency and [Sufficient] Correctness
Using XML to Build Consistency Rules for Distributed Specifications / A. Zisman ; W. Emmerich ; A. Finkelstein
Dependency Relations between Models in the Unified Process / C. Pons ; R. Giandini ; G. Baum
An Approach to Preserving Sufficient Correctness in Open Resource Coalitions / O. Raz ; M. Shaw
Formal Tools for Managing Inconsistency and Change in RE / A. K. Ghose
The Complexity of TRMCS-like Spiral Specification / T. Menzies
The Dangerous "All" in Specifications / D. M. Berry ; E. Kamsties
Design Guidance through the Controlled Application of Constraints / A. G. Cass ; L. Osterweil
Author Index
Message from the IWSSD Chairs
10 IWSSD Organizing Committee
Case Study
25.

電子ブック

EB
Jed Donnelley, Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
26.

電子ブック

EB
Bill Lorensen, Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
27.

電子ブック

EB
David Blaauw, Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
28.

電子ブック

EB
Carlo Ghezzi, Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
29.

電子ブック

EB
Alan Berenbaum, Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
30.

電子ブック

EB
Frank Vahid, Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
31.

電子ブック

EB
Giovanni De Micheli, Association for Computing Machinery-Digital Library.
出版情報: IEEE Electronic Library (IEL) Conference Proceedings , IEEE, 2000
所蔵情報: loading…
文献の複写および貸借の依頼を行う
 文献複写・貸借依頼