close
1.

図書

図書
sponsored by the IEEE Computer Society Technical Committee on Computer Architecture
出版情報: Los Alamitos, Cailf. : IEEE Computer Society Press, c2003  xiv, 390 p. ; 28 cm
所蔵情報: loading…
2.

図書

図書
sponsored by Samsung Electronics, IEEE Computer Society
出版情報: Los Alamitos, Calif. : IEEE Computer Society, c2003  viii, 95 p. ; 28 cm
所蔵情報: loading…
3.

図書

図書
editors Liria M. Sato ... [et al.] ; sponsored by Brazilian Computer Society (SBC) ; co-sponsored by IFIP, International Federation for Information Processing ; in cooperation with IEEE Computer Society ; organization Universidade São Paulo
出版情報: Los Alamitos, Calif. : IEEE Computer Society, 2003  xiii, 269 p. ; 28 cm
所蔵情報: loading…
目次情報: 続きを見る
Message from the General Chair
Message from the Program Chair
Conference Organizers
Program Committee
Reviewers
Brazilian Computer Society (SBC)
Cache and Memory Architectures / Session 1:
Exploring Memory Hierarchy with ArchC / P. Viana ; E. Barros ; S. Rigo ; R. Azevedo ; G. Araujo
Adaptive Compressed Caching: Design and Implementation / R. de Castro ; A. Pereira do Lago ; D. Menezes da Silva
Enabling Dual-Core Mode in BlueGene/L: Challenges and Solutions / G. Almasi ; L. Bachega ; S. Chatterjee ; M. Gupta ; D. Lieber ; X. Martorell ; J. Moreira
Processor Architectures / Session 2:
Complex Branch Profiling for Dynamic Conditional Execution / R. dos Santos ; T. dos Santos ; M. Pilla ; P. Navaux ; S. Bampi ; M. Nemirovsky
The Limits of Speculative Trace Reuse on Deeply Pipelined Processors / A. da Costa ; F. Franca ; B. Childers ; M.L. Soffa
Languages and Tools for Parallel and Distributed Programming / Session 3:
JRastro: A Trace Agent for Debugging Multithreaded and Distributed Java Programs / G. Jacques da Silva ; L. Mello Schnorr ; B. de Oliveira Stein
On the Implementation of SPMD Applications Using Haskell# / F. Heron de Carvalho Jr. ; R. Dueire Lins ; N. Cruz Quental
Performance Analysis of DECK Collective Communication Service / R. Silva ; D. Picinin ; M. Barreto ; R. Avila ; T. Diverio
A Modeling Methodology and Pre-Runtime Scheduling for Embedded Real-Time Software / R. Barreto ; P. Maciel ; S. Cavalcante
Grid, Cluster and Pervasive Computing / Session 4:
Performance Issues of Bandwidth Reservations for Grid Computing / L.-O. Burchard ; H.-U. Heiss ; C. De Rose
An Evaluation of cJava System Architecture / A. Faustino da Silva ; M. Lobosco ; C. Luis de Amorim
ProGrid: A Proxy-Based Architecture for Grid Operation and Management / P. Capellotto Costa ; S. Donizetti Zorzo ; H. Crestana Guardia
High Performance Applications I / Session 5:
Optimizing Packet Capture on Symmetric Multiprocessing Machines / G. Varenni ; M. Baldi ; L. Degioanni ; F. Risso
A Parallel Implementation of the LTSn Method for a Radiative Transfer Problem / R. Souto ; H. de Campos Velho ; S. Stephany ; A. Preto ; C. Segatto ; M. Vilhena
Parallel Implementation of a Lattice-Gauge-Theory Code: Studying Quark Confinement on PC Clusters / A. Cucchieri ; T. Mendes ; G. Travieso ; A. Taurines
High Performance Applications II / Session 6:
Applying Scheduling by Edge Reversal to Constraint Partitioning / M. Rodriguez Pereira ; P. Kayser Vargas ; M. Clicia Stelling de Castro ; I. De Castro Dutra
Comparison of Genomes Using High-Performance Parallel Computing / N. Almeida Jr. ; C. Alves ; E. Caceres ; S. Song
Finite Difference Simulations of the Navier-Stokes Equations Using Parallel Distributed Computing / J. Paulo de Angeli ; A. Valli ; N. Reis Jr. ; A. De Souza
Parallel and Distributed Algorithms / Session 7:
New Parallel Algorithms for Frequent Itemset Mining in Very Large Databases / A. Veloso ; W. Meira Jr. ; S. Parthasarathy
BSP/CGM Algorithm for Maximum Matching in Convex Bipartite Graphs / J. Soares ; M. Stefanes
A BSP/CGM Algorithm for Computing Euler Tours in Graphs / C. Nasu
Performance Analysis Issues for Parallel Implementations of Propagation Algorithm / L. Brenner ; L. Gustavo Fernandes ; P. Fernandes ; A. Sales
Load Balancing and Scheduling / Session 8:
Dynamic Load Balancing in PC Clusters: An Application to a Multi-Physics Model / R. Vargas Dorneles ; R. Luis Rizzi
Hybrid Task Scheduling: Integrating Static and Dynamic Heuristics / C. Boeres ; A. Lima ; V. Rebello
Load Balancing on Stateful Clustered Web Servers / G. Teodoro ; T. Tavares ; B. Coutinho ; D. Guedes
Benchmarking, Performance Measurements and Analysis / Session 9:
PM2P: A Tool for Performance Monitoring of Message Passing Applications in COTS PC Clusters / M. Haridasan ; G. Pfitcher
Profiling and Optimization of Software-Based Network-Analysis Applications
Boosting Performance for I/O-Intensive Workload by Preemptive Job Migrations in a Cluster System / X. Qin ; H. Jiang ; Y. Zhu ; D. Swanson
Reconfigurable Systems / Session 10:
Three Hardware Implementations for the Binary Modular Exponentiation: Sequential, Parallel and Systolic / N. Nedjah ; L. de Macedo Mourelle
Fast Parallel FFT on a Reconfigurable Computation Platform / A. Kamalizad ; C. Pan ; N. Bagherzadeh
X4CP32: A New Hybrid Parallel/Reconfigurable General-Purpose Processor / R. Soares ; A. Azevedo ; I. Saraiva Silva
Author Index
Message from the General Chair
Message from the Program Chair
Conference Organizers
4.

図書

図書
edited by Alex Veidenbaum, Kazuki Joe ; sponsored by Center for Embedded Computer Systems, University of California Irvine
出版情報: Los Alamitos, Calif. : IEEE Computer Society, c2003  viii, 124 p. ; 29 cm
所蔵情報: loading…
5.

図書

図書
International Conference on Compilers, Architectures, and Synthesis for Embedded Systems ; Moreno, Jaime H., 1954- ; Murthy, Praveen K., 1969- ; ACM Digital Library
出版情報: New York, N.Y. : ACM Press, 2003  x, 329 p. ; 28 cm
所蔵情報: loading…
6.

図書

図書
Euromicro Symposium on Digital Systems Design ; Euromicro
出版情報: Los Alamitos, Calif. : IEEE Computer Society, c2003  xii, 478 p. ; 28 cm
所蔵情報: loading…
目次情報: 続きを見る
Message from the Program Chair
Conferences Committees
Keynote Speeches
Eccentric SoC Architectures as the Future Norm / G. Brebner
NoCs: A New Contract between Hardware and Software / A. Jantsch
Towards the Digitally Named World--Challenges for New Social Infrastructures Based on Information Technologies / H. Yasuura
Customizable Embedded Processor Architectures / P. Petrov ; A. Orailoglu
Processor and Memory Architectures
Distance-aware L2 Cache Organizations for Scalable Multiprocessor Systems / S. Chung ; H. Kim ; C. Jhon
Unified Dual Data Caches / B. Juurlink
CCC: Crossbar Connected Caches for Reducing Energy Consumption of On-Chip Multiprocessors / L. Li ; N. Vijaykrishnan ; M. Kandemir ; M. Irwin ; I. Kadayif
Synthesis (HL, LS, PS)
Reversible Logic Synthesis for Minimization of Full-Adder Circuit / H. Babu ; R. Islam ; A. Chowdhury ; S. Chowdhury
Scheduling and Assignment for Real-time Embedded Systems with Resource Contention / L. Pontani ; D. Dupont
Multi Component Digital Circuit Optimization by Solving FSM Equations / N. Yevtushenko ; S. Zharikova ; M. Vetrova
DYNORA: A New Cache Technique / P. Srivatsan ; P. Sudarshan ; P. Bhaskaran
A Quadruple Precision and Dual Double Precision-Floating Point Multiplier / A. Akkas ; M. Schulte
Causality Constraints for Processor Architectures with Sub-Word Parallelism / R. Schaffer ; R. Merker ; F. Catthoor
A Methodology for the Design of AHB Bus Master Wrappers / M. Bertola ; G. Bois
A Constructive Algorithm with Look-Ahead for Mapping and Scheduling of Task Graphs with Conditional Edges / W. Brunnbauer ; T. Wild ; J. Foag ; N. Pazos
An Application of Functional Decomposition in ROM-Based FSM Implementation in FPGA Devices / M. Rawski ; H. Selvaraj ; T. Luba
Variations on Truncated Multiplication / J. Stine ; O. Duverne
Exploring Storage Organization in ASIP Synthesis / M. Jain ; M. Balakrishnan ; A. Kumar
RDSP: A RISC DSP Based on Residue Number System / R. Chaves ; L. Sousa
Operating Region Modelling of Deep-Submicron CMOS Buffers Driving Global Scope Inductive Interconnects / G. Cappuccino
A Scheduling and Partitioning Scheme for Low Power Circuit Operating at Multiple Voltages / L. Wang
Information-driven Library-Based Circuit Synthesis / L. Jozwiak ; S. Bieganski ; A. Chojnacki
Special Architectures
Low-power Branch Target Buffer for Application-Specific Embedded Processors
A Communication Model Based on an n-Dimensional Torus Architecture Using Deadlock-Free Wormhole Routing / P. Holzenspies ; E. Schepers ; W. Bach ; M. Jonker ; B. Sikkes ; G. Smit ; P. Havinga
A Development and Simulation Environment for a Floating Point Operations FPGA Based Accelerator / M. Bera ; G. Danese ; I. De Lotto ; F. Leporati ; A. Spelgatti
A Two-Step Genetic Algorithm for Mapping Task Graphs to a Network on Chip Architecture / T. Lei ; S. Kumar
System-on-a-Chip
A Novel Specification Model for IP-Based Design / S. Klaus ; S. Huss
An Efficient Implementation of Fair Load Balancing over Multi-CPU SOC Architectures / G. Kornaros ; T. Orphanoudakis ; N. Zervos
Design and FPGA Implementation of a Video Scalar with On-chip Reduced Memory Utilization / S. Ramachandran ; S. Srinivasan
Estimating the Utilization of Embedded FPGA Co-Processor / Y. Qu ; J. Soininen
A Dynamically Reconfigurable Accelerator for Operations over Boolean and Ternary Vectors / V. Sklyarov ; I. Skliarova ; A. Oliveira ; A. Ferrari
Fast Heuristics for the Edge Coloring of Large Graphs / M. Hilgemeier ; N. Drechsler ; R. Drechsler
Back-End Dynamic Resource Allocation Heuristics for Power-Aware High-Performance Clustered Architectures / A. Baniasadi
NOAH, A Tool for Augument Reduction, Serial and Parallel Decomposition of Decision Tables / M. Pleban ; H. Niewiadomski ; P. Buciak ; P. Sapiecha
Design Tools and Resusable Libraries for FPGA-Based Digital Circuits / P. Almeida ; M. Almeida
HW/SW Codesign Incorporating Edge Delays Using Dynamic Programming / K. Bhasyam ; K. Bazargan
Reconfigurable Randomized K-way Graph Partitioning / F. Kocan
Multiple Voltage and Frequency Scheduling for Power Minimization / B. Radhakrishnan ; M. Venkatesan
A Fast Additive Normalization Method for Exponential Computation / C. Chen ; R. Chen ; M. Sheu
A VLIW Architecture for Logarithmic Arithmetic / M. Arnold
System-on-a-Chip (2) and Validation/Verification
Testable Design Verification Using Petri Nets / R. Ruzicka
Hierarchical Constraint Conscious RT-level Test Generation / O. Sinanoglu
A System-on-Chip Implementation of the IEEE 802.11 a MAC Layer / G. Panic ; D. Dietterle ; Z. Stamenkovic ; K. Tittelbach-Helmrich
The Application of Formal Verification to SPW Designs / B. Akbarpour ; S. Tahar
Applications of (Embedded) Digital Systems
Successful Prototyping of a Real-Time Hardware Based Terrain Navigation Correlator Algorithm / F. Traugott ; K. Andersson ; A. Lofgren ; L. Lindh
A New Algorithm for High-Speed Projection in Point Rendering Applications / M. Amor ; M. Boo ; A. del Rio ; M. Wand ; W. Strasser
Sensor Platform Design for Automotive Applications / M. De Marinis ; L. Fanucci ; A. Giambastiani ; A. Renieri ; A. Rocchi ; C. Rosadini ; C. Sicilia ; D. Sicilia
Specification and Modeling
Modelling and Simulation of a Digital IC System Using SimulPet: Application to a Speech Coding Communication IC / R. Fernandez-Ramos ; J. Romero-Sanchez ; F. Rios-Gomez ; J. Martin-Canales
T&D-Bench+--A Software Environment for Modeling and Simulation of State-of-the-Art Processors / S. Soares ; F. Wagner
Back-Traced Deductive-Parallel Fault Simulation for Digital Systems / V. Hahanov ; R. Ubar ; S. Hyduke
Temperature Influence on Power Consumption and Time Delay / A. Golda ; A. Kos
A Real Time Low Latency, FPGA Implementation of the 2-D Discrete Wavelet Transformation for Streaming Image Applications / O. Benderli ; Y. Tekmen ; N. Ismailoglu
Understanding Video Pixel Processing Applications for Flexible Implementations / O. Gangwal ; J. Janssen ; S. Rathnam ; E. Bellers ; M. Duranton
Power/Area Analysis and Optimization of a DS-SS Receiver for an Integrated Sensor Microsystem / N. Aydin ; T. Arslan ; D. Cumming
A Power Reduction Scheme for Data Buses by Dynamic Detection of Active Bits / M. Muroyama ; A. Hyodo ; T. Okuma
Framed Complexity Analysis in SystemC for Multi-level Design Space Exploration / A. Wellig ; J. Zory
Poster Papers
Analytical Bounds on the Threads in IXP 1200 Network Processor / S. Ramakrishna ; H. Jamadagni
Concurrent Operation Scheduling and Unit Allocation with an Evolutionary Technique / G. Papa ; J. Silc
Exact Numerical Processing / J. Chamizo ; J. Pascual ; H. Mora
Stochastic Reconfigurable Hardware for Neural Networks / N. Nedjah ; L. Mourelle
An Iterative Improvement Co-synthesis Algorithm for Optimization of SOPC Architecture with Dynamically Reconfigurable FPGAs / R. Czarnecki ; S. Deniziak ; K. Sapiecha
Distributing SoC Simulations over a Network of Computers / J. Riihimaki ; V. Helminen ; K. Kuusilinna ; T. Hamalainen
FC-Min: A Fast Multi-Output Boolean Minimizer / P. Fiser ; J. Hlavicka ; H. Kubatova
A Methodology for Designing Communication Architectures for Multiprocessor SoCs / V. Dvorak ; V. Kutalek
Compiler-Directed Management of Instruction Accesses / G. Chen ; W. Zhang ; I. Kolcu ; U. Sezer
Test Scheduling for Embedded Systems / Z. Kotasek ; D. Mika ; J. Strnadel
Author Index
Message from the Program Chair
Conferences Committees
Keynote Speeches
7.

図書

図書
Franklin T. Luk, chair/editor ; sponsored and published by SPIE--the International Society for Optical Engineering
出版情報: Bellingham, Wash. : SPIE, c2003  x, 620 p. ; 28 cm
シリーズ名: Proceedings / SPIE -- the International Society for Optical Engineering ; v. 5205
所蔵情報: loading…
8.

図書

図書
IEEE Computer Society Technical Committee on Computer Architecture, ACM SIGARCH
出版情報: Los Alamitos, Calif. : IEEE Computer Society, c2003  xi, 448 p. ; 28 cm
シリーズ名: Computer architecture news ; v. 31, no. 2, May 2003
所蔵情報: loading…
目次情報: 続きを見る
Symposium Chairman's Welcome
Message from the Program Chair
Committee Members
Thermal and Energy-Aware Microarchitectures / Session 1:
Temperature-Aware Microarchitecture / K. Skadron ; M. Stan ; W. Huang ; S. Velusamy ; K. Sankaranarayanan ; D. Tarjan
Profile-Based Dynamic Voltage and Frequency Scaling for a Multiple Clock Domain Microprocessor / G. Magklis ; M. Scott ; G. Semeraro ; D. Albonesi ; S. Dropsho
Processor Architecture / Session 2:
Half-Price Architecture / I. Kim ; M. Lipasti
Implicitly-Multithreaded Processors / I. Park ; B. Falsafi ; T. Vijaykumar
Panel: Subsetting SPEC When Measuring Results: Valid or Manipulative?
MisSPECulation: Partial and Misleading Use of SPEC CPU2000 in Computer Architecture Conferences / D. Citron
Microarchitecture Techniques / Session 3a:
Banked Multiported Register Files for High-Frequency Superscalar Microprocessors / J. Tseng ; K. Asanovic
Pipeline Damping: A Microarchitectural Technique to Reduce Inductive Noise in Supply Voltage / M. Powell
Smarts: Accelerating Microarchitecture Simulation via Rigorous Statistical Sampling / R. Wunderlich ; T. Wenisch ; J. Hoe
Recovery and Replay / Session 3b:
Transient-Fault Recovery for Chip Multiprocessors / M. Gomaa ; C. Scarbrough ; I. Pomeranz
ReEnact: Using Thread-Level Speculation Mechanisms to Debug Data Races in Multithreaded Codes / M. Prvulovic ; J. Torrellas
A "Flight Data Recorder" for Enabling Full-System Multiprocessor Deterministic Replay / M. Xu ; R. Bodik ; M. Hill
Energy-Saving Designs / Session 4a:
A Highly-Configurable Cache Architecture for Embedded Systems / C. Zhang ; F. Vahid ; W. Najjar
Energy Efficient Co-Adaptive Instruction Fetch and Issue / A. Buyuktosunoglu ; T. Karkhanis ; P. Bose
Positional Adaptation of Processors: Application to Energy Reduction / M. Huang ; J. Renau
DRPM: Dynamic Speed Control for Power Management in Server Class Disks / S. Gurumurthi ; A. Sivasubramaniam ; M. Kandemir ; H. Franke
Interconnects and Multiprocessors / Session 4b:
Token Coherence: Decoupling Performance and Correctness / M. Martin ; D. Wood
GOAL: A Load-Balanced Adaptive Routing Algorithm for Torus Networks / A. Singh ; W. Dally ; A. Gupta ; B. Towles
Using Destination-Set Prediction to Improve the Latency/Bandwidth Tradeoff in Shared-Memory Multiprocessors / P. Harper ; D. Sorin
Performance Analysis of the Alpha 21364-Based HP GS1280 Multiprocessor / Z. Cvetanovic
Front-End Scheduling / Session 5:
Parallelism in the Front-End / P. Oberoi ; G. Sohi
Effective ahead Pipelining of Instruction Block Address Generation / A. Seznec ; A. Fraboulet
Cyclone: A Broadcast-Free Dynamic Instruction Scheduler with Selective Replay / D. Ernst ; A. Hamel ; T. Austin
Clustered Processors / Session 6a:
Improving Dynamic Cluster Assignment for Clustered Trace Cache Processors / R. Bhargava ; L. John
Dynamically Managing the Communication-Parallelism Trade-off in Future Clustered Processors / R. Balasubramonian ; S. Dwarkadas
A Pipelined Memory Architecture for High Throughput Network Processors / T. Sherwood ; G. Varghese ; B. Calder
Efficient Use of Memory Bandwidth to Improve Network Processor Throughput / J. Hasan ; S. Chandra
Prediction / Session 7a:
Improving Branch Prediction by Dynamic Dataflow-Based Identification of Correlated Branches from a Large Global History / R. Thomas ; M. Franklin ; C. Wilkerson ; J. Stark
Detecting Global Stride Locality in Value Streams / H. Zhou ; J. Flanagan ; T. Conte
Phase Tracking and Prediction / S. Sair
Mechanisms and Support / Session 7b:
Virtual Simple Architecture (VISA): Exceeding the Complexity Limit in Safe Real-Time Systems / A. Anantaraman ; K. Seth ; K. Patil ; E. Rotenberg ; F. Mueller
DISE: A Programmable Macro Engine for Customizing Applications / M. Corliss ; E. Lewis ; A. Roth
Building Quantum Wires: The Long and the Short of It / M. Oskin ; F. Chong ; I. Chuang ; J. Kubiatowicz
Memory Issues / Session 8:
Guided Region Prefetching: A Cooperative Hardware/Software Approach / Z. Wang ; D. Burger ; S. Reinhardt ; K. McKinley ; C. Weems
Overcoming the Limitations of Conventional Vector Processors / C. Kozyrakis ; D. Patterson
A Performance Analysis of PIM, Stream Processing, and Tiled Processing on Memory-Intensive Signal Processing Kernels / J. Suh ; E. Kim ; S. Crago ; L. Srinivasan ; M. French
Exploiting Parallelisms / Session 9:
Exploiting ILP, TLP and DLP with the Polymorphous TRIPS Architecture / K. Sankaralingam ; R. Nagarajan ; H. Liu ; C. Kim ; J. Huh ; S. Keckler ; C. Moore
The Jrpm System for Dynamically Parallelizing Java Programs / M. Chen ; K. Olukotun
Author Index
Symposium Chairman's Welcome
Message from the Program Chair
Committee Members
文献の複写および貸借の依頼を行う
 文献複写・貸借依頼