close
1.

図書

図書
editors, Duane S. Boning ... [et al.]
出版情報: Warrendale, Pa. : Materials Research Society, c2003  xi, 348 p. ; 24 cm
シリーズ名: Materials Research Society symposium proceedings ; v. 767
所蔵情報: loading…
目次情報: 続きを見る
Preface
Materials Research Society Symposium Proceedings
CMP Modeling
Three-Dimensional Wafer Process Model for Nanotopography / Takafumi Yoshida
A Model of Chemical Mechanical Polishing: The Role of Inhibitors / Ed Paul ; Robert Vacassy
Re-Examining the Physical Basis of Pattern Density and Step Height CMP Models / Xiaolin Xie ; Tae Park ; Brian Lee ; Tamba Tugbawa ; Hong Cai ; Duane Boning
Slurry Utilization Efficiency Studies in Chemical Mechanical Planarization / Ara Philipossian ; Erin Mitchell
Study of Slurry Composition Transition in a Rotary Copper CMP Process / Sharath Hegde ; Udaya B. Patri ; Anurag Jindal ; S.V. Babu
In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization / Jesse Cornely ; Chris Rogers ; Vincent Manno
News from the M in CMP--Viscosity of CMP Slurries, A Constant? / W. Lortz ; F. Menzel ; R. Brandes ; F. Klaessig ; T. Knothe ; T. Shibasaki
Atomistic Mechanisms Underlying Chemical Mechanical Planarization of Copper / Y.Y. Ye ; R. Biswas ; A. Bastawros ; A. Chandra
Dynamic Contact Characteristics During Chemical Mechanical Polishing (CMP) / Wonseop Choi ; Seung-Mahn Lee ; Rajiv K. Singh
Spectral Analysis of Frictional Forces in ILD CMP / Daniel Rosales-Yeomans ; Leslie Charns ; Toshiroh Doy ; Masaharu Kinoshita
CMP Science
Single Asperity Chemical Mechanical Wear Studied by Atomic Force Microscopy / Forrest Stevens ; Steve Langford ; J. Thomas Dickinson
The Effect of Pad Properties on Planarity in a CMP Process / Hoyoung Kim ; Dong-Woon Park ; Chang-Ki Hong ; Woo-Sung Han ; Joo-Tae Moon
Multi-Scale Characterization of Pad Role on Material Removal Rate in CMP / Sunil D. Gouda ; Ashraf Bastawros ; Abhijit Chandra
Pad Degradation During CMP Process: Effect of Soak in Slurry and Water on Thermal and Mechanical Properties of the CMP Pads / A. Tregub ; G. Ng ; M. Moinpour
Transfer Wear During Cu CMP / H. Liang ; G. Helen Xu ; J.M. Martin ; Th. Le Mongne
Effect of Pad Surface Texture and Slurry Abrasive Concentration on Tribological and Kinetic Attributes of ILD CMP / Scott Olsen
Transient Electrochemical Measurements During Copper Chemical Mechanical Polishing / Valentin Craciun
A Novel CMP Process on Fixed Abrasive Pads for the Manufacturing of Highly Planar Thick Film SOI Substrates / Martin Kulawski ; Kimmo Henttinen ; Ilkka Suni ; Frauke Weimar ; Jari Makinen
CMP Slurries, Particles
Ta/Low-k CMP With Colloidal Silica Particles / Patrice Beaud ; Didier Bouvet ; Pierre Fazan ; Eric Jacquinot ; Hiroyuki Aoki ; Tomoko Aoki
Effect of Ceria Particle-Size Distribution and Pressure Interactions in Chemo-Mechanical Polishing (CMP) of Dielectric Materials / Naga Chandrasekaran ; Ted Taylor ; Gundu Sabde
Interaction Between Ceria and Hydroxylamine / Subramanian Tamilmani ; Jilei Shan ; Wayne Huang ; Srini Raghavan ; Robert Small ; Cass Shang ; Brandon Scott
The Effects of Particle Adhesion in Chemical Mechanical Polishing / Zhenyu Lu ; Egon Matijevic
CeO[subscript 2] Particles for Chemical Mechanical Planarization / Xiandong Feng ; Yie-Shein Her ; W. Linda Zhang ; Jackie Davis ; Eric Oswald ; Jin Lu ; Vicky Bryg ; Sara Freeman ; Dave Gnizak
CMP Topics, Including Novel Devices
Slurry Admittance and Its Effect on Polishing / David R. Evans
Dispersion Number Studies in Chemical Mechanical Planarization
Slurry Development for Cu/Ultra Low-k CMP / Hugh Li ; Matt VanHaneham ; John Quanci
CMP Revisited for the MEMS/Foundry Era / Lawrence Camilletti
Effect of Tool Kinematics, Brush Pressure and Cleaning Fluid pH on Coefficient of Friction and Tribology of Post-CMP PVA Brush Scrubbing Processes / Lateef Mustapha
Planarization of Patterned Aluminum/Diamond Surfaces for SAW Devices / Anthony S. Holland ; Geoffrey K. Reeves ; Patrick W. Leech
The Development of a Direct-Polish Process for STI CMP / Antonella Martin ; Giulia Spinolo ; Sonia Morin ; Maurizio Bacchetta ; Francesca Frigerio ; Benjamin A. Bonner ; Peter McKeever ; Maurizio Tremolada ; Anand Iyer
Copper and Barrier Slurries
Supramolecular Abrasive-Free System for Cu CMP / Jason Keleher ; Ken Rushing ; Joe Zhao ; Bill Wojtczak ; Yuzhuo Li
Electrochemical Measurements Demonstrate Performance of BTAH and Alternative Passivating Agents on Copper in a Hydroxylamine CMP System / Melvin Keith Carter
Selectivity Studies on Tantalum Barrier Layer in Copper CMP / Arun Vijayakumar ; Tianbao Du ; Kalpathy B. Sundaram ; Vimal Desai
Electrochemical Studies of Copper Chemical Mechanical Polishing Mechanism: Effects of Oxidizer Concentration / J. Lu ; J.E. Garland ; C.M. Petite ; D. Roy
Mechanisms of Passivation of Copper in CMP Slurries Containing Peroxide and Glycine / Ling Wang ; Fiona M. Doyle
The pH Effect on Chemical Mechanical Planarization of Copper
Comparison of Glycine and Citric Acid as Complexing Agents in Copper Chemical-Mechanical Polishing Slurries / Venkata Gorantla
Slurries for Copper Damascene Patterning: Similarities and Differences / A. Jindal ; G. Rajagopalan ; M. Gupta ; J.-Q. Lu ; K. Rose ; R.J. Gutmann
Polishing Behavior of the Various Interconnect Thin Films in Cu Damascene Process With Different Slurries / Parshuram B. Zantye ; Arun K. Sikder ; Ashok Kumar
Chemical-Mechanical Planarization of Copper: The Effect of Inhibitor and Complexing Agent / Ying Luo
CMP Integration
Mechanical Modeling of the 2D Interfacial Slurry Pressure in CMP / C. Fred Higgs III ; Sum Huan Ng ; Inho Yoon ; Lei Shan ; Lipkong Yap ; Steven Danyluk
A New Cleaning Technique for Corrosion Protection on Aluminum Metallization / Masako Kodera ; Shin-ichiro Uekusa ; Yoshitaka Matsui ; Naoto Miyashita ; Atsushi Shigeta ; Shinya Takahashi ; Murato Kawai ; Hiroshi Kawamoto ; Manabu Tsujimura
Delamination Behavior of Cu-Low-k Stack Under Different Slurries / A.K. Sikder ; S. Thagella ; P.B. Zantye
Integration Challenges for Chemical Mechanical Polishing of Cu/Low-k Interconnects / Jeffrey A. Lee ; Mansour Moinpour ; Huey-Chiang Liou ; Thomas Abell
Integrated Chip-Scale Prediction of Copper Interconnect Topography / Chidi Chidambaram ; Chris Borst ; Greg Shinn
Author Index
Subject Index
Preface
Materials Research Society Symposium Proceedings
CMP Modeling
2.

図書

図書
editors, Duane S. Boning ... [et al.]
出版情報: Warrendale, Pa. : Materials Research Society, c2004  xi, 292 p. ; 24 cm
シリーズ名: Materials Research Society symposium proceedings ; v. 816
所蔵情報: loading…
目次情報: 続きを見る
Preface
Materials Research Society Symposium Proceedings
Copper CMP
Challenges and Rewards of Low-Abrasive Copper CMP: Evaluation and Integration for Single-Damascene Cu/Low-k Interconnects for the 90 nm Node / Christopher L. Borst ; Stanley M. Smith ; Mona Eissa
Investigation of the Influence of Different Copper Slurry Systems on Post CMP Topography Performance / Goetz Springer ; Peter Thieme ; Pierre Klose
Copper CMP Formulation for 65 nm Device Planarization / Gregory T. Stauf ; Karl Boggs ; Peter Wrschka ; Craig Ragaglia ; Michael Darsillo ; Jeffrey F. Roeder ; Mackenzie King ; Jun Liu ; Thomas Baum
Effect of Hydrogen Peroxide on Oxidation of Copper in CMP Slurries Containing Glycine and Cu Sulfate / Tianbao Du ; Arun Vijayakumar ; Vimal Desai
Modified Abrasives Based on Fumed SiO[subscript 2] and Al[subscript 2]O[subscript 3] for the Cu CMP Process / D. Zeidler ; J.W. Bartha ; W. Lortz ; R. Brandes
Novel Pure Organic Particles for Copper CMP at Low Down Force / K. Cheemalapati ; A. Chowdhury ; V. Duvvuru ; Yong Lin ; Kwok Tang ; Guomin Bian ; Lily Yao ; Yuzhuo Li
Effect of Nao-Size Silica Abrasives in Chemical Mechanical Polishing of Copper / Su-Ho Jung ; Rajiv K. Singh
Metal CMP Modeling
A Model of Cu-CMP / Ed Paul ; Vlasta Brusic ; Fred Sun ; Jian Zhang ; Robert Vacassy ; Frank Kaufman
Coherent Chip-Scale Modeling for Copper CMP Pattern Dependence / Hong Cai ; Tae Park ; Duane Boning ; Hyungjun Kim ; Youngsoo Kang ; Sibum Kim ; Jeong-Gun Lee
Planarization Equipment
Polish Profile Control Using Magnetic Control Head / Manabu Tsujimura ; Yu Ishii ; Norio Kimura ; Masahiro Ota
Adaptive Piezo-Controlled Carrier for CMP Processing / Christian-Toralf Weber ; Jurgen Weiser ; Dieter Zeidler ; Johann W. Bartha
Metrological Assessment of the Coefficient of Friction of Various Types of Silica Using the Motor Current During ILD-CMP / Harald Jacobsen ; Eric Stachowiak ; Gerfried Zwicker ; Wolfgang Lortz ; Ralph Brandes
Poster Session
A Study on the Self-Stopping CMP Process for the Planarization of the High Step Height(@step height[greater than sign]1.5 um) Pattern / Kwang-Bok Kim ; Hyo-Jin Lee ; Ki-Hoon Jang ; Joung-Duk Ko ; Kyung-Hyun Kim ; In-Seac Hwang ; Yong-Sun Ko ; Chang-Lyong Song
Modeling of Pattern Density Dependent Pressure Non-Uniformity at a Die Scale for ILD Chemical Mechanical Planarization / Jihong Choi ; David A. Dornfeld
Effect of Abrasive in Cu-CMP Slurry on Global Planarization / Yutaka Nomura ; Hiroshi Ono ; Hiroki Terazaki ; Yasuo Kamigata ; Masato Yoshida
Investigation of Mechanical Integrity and Its Effect on Polishing for Novel Polyurethane Polishing Pad / Parshuram Zantye ; S. Mudhivarthi ; A.K. Sikder ; Ashok Kumar ; S. Ostapenko ; Julie Harmon
Interaction Between Abrasive Particles and Film Surfaces in Low Down Force Cu CMP / Yuchun Wang ; Isaac Zomora ; Joe Hawkins ; Renjie Zhou ; Roy Martinez ; Bin Lu ; Shumin Wang
Effect of Particle Interaction on Agglomeration of Silica-Based CMP Slurries / A. Sorooshian ; R. Ashwani ; H.K. Choi ; M. Moinpour ; A. Oehler ; A. Tregub
Measurement of Electroplated Copper Overburden for Advanced Process Development and Control / Joshua Tower ; Alexei Maznev ; Michael Gostein ; Koichi Otsubo
Polishing Pads
Micro Feature Pad Development and Its Performance in Chemical Mechanical Planarization / Sunghoon Lee
Characterization of CMP Pad Surface Texture and Pad-Wafer Contact / Gregory P. Muldowney ; David B. James
Modeling CMP Transport and Kinetics at the Pad Groove Scale
In Situ Friction and Pad Topography Measurements During CMP / Caprice Gray ; Daniel Apone ; Chris Barns ; Moinpour Monsour ; Sriram Anjur ; Vincent Manno ; Chris Rogers
Metrology of Psiloquest's Application Specific Pads (ASP) for CMP Applications / Parshuram B. Zantye ; Arun K. Sikder ; Yaw Obeng
CMP Corrosion and Cleaning
Influence of Electrochemical Plating Process Parameters on Corrosion of Cu Damascene Interconnects / D. Ernur ; W. Wu ; S.H. Brongersma ; V. Terzieva ; K. Maex
Novel CMP Applications
Advances in the CMP Process on Fixed Abrasive Pads for the Polishing of SOI-Substrates With High Degree of Flatness / Martin Kulawski ; Hannu Luoto ; Kimmo Henttinen ; Ilkka Suni ; Frauke Weimar ; Jari Makinen
Analysis of Nanotopography in Silicon Generated by the Polishing Process / Hiromichi Isogai ; Katsuyoshi Kojima
The Application of Chemical Mechanical Polishing for Nickel Used in MEMS Devices / Kalpathy B. Sundaram
CMP Modeling and Characterization for Polysilicon MEMS Structures / Brian Tang
Planarization Issues in Wafer-Level Three-Dimensional (3D) Integration / J.-Q. Lu ; G. Rajagopalan ; M. Gupta ; T.S. Cale ; R.J. Gutmann
CMP Modeling
Pad Asperity Parameters for CMP Process Simulation / Takafumi Yoshida
Assessment of Planarization Length Variation by the Step-Polish-Response (SPR) Method / Tilo Bormann ; Kathrin Estel
Oxide and STI CMP
Cerium Oxide Abrasives--Observations and Analysis / David R. Evans
Material Removal Mechanisms of Oxide and Nitride CMP With Ceria and Silica-Based Slurries--Analysis of Slurry Particles Pre- and Post-Dielectric CMP / Naga Chandrasekaran
WID Rnit Variation Improvements for HSS STI CMP Process Using Modified Scribe Lane Pattern Design / Hyuk Kwon ; Yong-Soo Choi ; Sang-Hwa Lee ; Geun-Min Choi ; Yong-Wook Song ; Gyu-Han Yoon
Characterizing STI CMP Processes With an STI Test Mask Having Realistic Geometric Shapes / Xiaolin Xie ; Aaron Smith ; Paul Allard ; Neil Patel
Investigation and Control of Chemical and Surface Chemical Effects During Dielectric CMP / J.T. Abiade ; W. Choi ; V. Khosla ; R.K. Singh
Author Index
Subject Index
Preface
Materials Research Society Symposium Proceedings
Copper CMP
文献の複写および貸借の依頼を行う
 文献複写・貸借依頼