close
1.

図書

図書
editors, Oliver Kraft ... [et al.]
出版情報: New York : American Institute of Physics, c1999  ix, 318 p. ; 25 cm
シリーズ名: AIP conference proceedings ; 491
所蔵情報: loading…
2.

図書

図書
editors, Oliver Kraft ... [et al.]
出版情報: Warrendale, PA : Materials Research Society, c2001  1 v. ; 24 cm
シリーズ名: Materials Research Society symposium proceedings ; v. 673
所蔵情報: loading…
目次情報: 続きを見る
Preface
Acknowledgments
Materials Research Society Symposium Proceedings
Dislocation and Deformation Mechanisms in Thin Metal Films and Multilayers I
Constrained Diffusional Creep in Thin Copper Films / D. Weiss ; H. Gao ; E. Arzt
An Experimental and Computational Study of the Elastic-Plastic Transition in Thin Films / Erica T. Lilleodden ; Jonathan A. Zimmerman ; Stephen M. Foiles ; William D. Nix
"Reverse" Stress Relaxation in Cu Thin Films / R. Spolenak ; C. A. Volkert ; S. Ziegler ; C. Panofen ; W.L. Brown
Stress Evolution in a Ti/Al(Si,Cu) Dual Layer During Annealing / Ola Bostrom ; Patrice Gergaud ; Olivier Thomas ; Philippe Boivin
Study of the Yielding and Strain Hardening Behavior of a Copper Thin Film on a Silicon Substrate Using Microbeam Bending / Jeffrey N. Florando
Mechanical Behavior of Thin Cu Films Studied by a Four-Point Bending Technique / Volker Weihnacht ; Winfried Bruckner
Discrete Dislocations: Observations and Simulations
Dislocation Dynamics Simulations of Dislocation Interactions in Thin FCC Metal Films / Prita Pant ; K.W. Schwarz ; S.P. Baker
Discrete Dislocation Simulation of Thin Film Plasticity / B. von Blanckenhagen ; P. Gumbsch
Influence of Film/Substrate Interface Structure on Plasticity in Metal Thin Films / G. Dehm ; B.J. Inkson ; T.J. Balk ; T. Wagner
Observations of Dislocation Motion and Stress Inhomogeneities in a Thin Copper Film / T. John Balk ; Gerhard Dehm ; Eduard Arzt
Dislocations and Deformation Mechanisms in Thin Films and Small Structures
Solid Solution Alloy Effects on Microstructure and Indentation Hardness in Pt-Ru Thin Films / Seungmin Hyun ; Oliver Kraft ; Richard P. Vinci
Lack of Hardening Effect in TiN/NbN Multilayers / Jon M. Molina-Aldareguia ; Stephen J. Lloyd ; Zoe H. Barber ; William J. Clegg
Temperature and Strain Rate Dependence of Deformation-Induced Point Defect Cluster Formation in Metal Thin Foils / K. Yasunaga ; Y. Matsukawa ; M. Komatsu ; M. Kiritani
Dislocation Locking by Intrinsic Point Defects in Silicon / Igor V. Peidous ; Konstantin V. Loiko ; Dale A. Simpson ; Tony La ; William R. Frensley
Optical Study of SiGe Films Grown With Low Temperature Si Buffer / Y.H. Luo ; J. Wan ; J.L. Liu ; K.L. Wang
Thickness-Fringe Contrast Analysis of Defects in GaN / Jeffrey K. Farrer ; C. Barry Carter ; Z. Mao ; Stuart McKernan
Dislocations in Small Structures
Modeling of Dislocations in an Epitaxial Island Structure / X.H. Liu ; F.M. Ross
Misfit Dislocation Introduction During the Epitaxial Growth of InAs Islands on GaP / Vidyut Gopal ; Alexander L. Vasiliev ; Eric P. Kvam
X-ray Diffuse Scattering From Misfit Dislocation at Buried Interface / Kaile Li ; Paul F. Miceli ; Christian Lavoie ; Tom Tiedje ; Karen L. Kavanagh
Dislocations and Deformation in Epitaxial Layers
Development of Cross-Hatch Morphology During Growth of Lattice Mismatched Layers / A. Maxwell Andrews ; J.S. Speck ; A.E. Romanov ; M. Bobeth ; W. Pompe
Mechanism for the Reduction of Threading Dislocation Densities in Si[subscript 0.82]Ge[subscript 0.18] Films on Silicon on Insulator Substrates / E.M. Rehder ; T.S. Kuan ; T.F. Kuech
TEM Study of Strain States in III-V Semiconductor Epitaxial Layers / Andre Rocher ; Anne Ponchet ; Stephanie Blanc ; Chantal Fontaine
A Kinetic Model for the Strain Relaxation in Heteroepitaxial Thin Film Systems / Y.W. Zhang ; T.C. Wang ; S.J. Chua
Dislocation Fundamentals: Observations, Calculations and Simulations
Dislocation Core Spreading at Interfaces Between Crystalline and Amorphous Solids / Huajian Gao ; Lin Zhang ; Shefford P. Baker
Dislocation Networks Strain Fields Induced by Si Wafer Bonding / J. Eymery ; F. Fournel ; K. Rousseau ; D. Buttard ; F. Leroy ; F. Rieutord ; J.L. Rouviere
Dislocations and Deformation Mechanisms in Thin Metal Films and Multilayers II
Misfit Dislocations in Epitaxial Ni/Cu Bilayer and Cu/Ni/Cu Trilayer Thin Films / Tadashi Yamamoto ; Amit Misra ; Richard G. Hoagland ; Mike Nastasi ; Harriet Kung ; John P. Hirth
Structure and Mechanical Behavior Relationship in Nano-Scaled Multilayered Materials / A. Sergueeva ; N. Mara ; A.K. Mukherjee
Dislocations in Thin Metal Films Observed With X-Ray Diffraction / Leon J. Seijbel ; Rob Delhez
Local Microstructure and Stress in Al(Cu) Thin Film Structures Studied by X-Ray Microdiffraction / B.C. Valek ; N. Tamura ; A.A. MacDowell ; R.S. Celestre ; H.A. Padmore ; J.C. Bravman ; B.W. Batterman ; J.R. Patel
Deformation Microstructure of Cold Gas Sprayed Coatings / C. Borchers ; T. Stoltenhoff ; F. Gartner ; H. Kreye ; H. Assadi
Plastic Deformation of Thin Metal Foils Without Dislocations and Formation of Point Defects and Point Defect Clusters / Michio Kiritani ; Kazufumi Yasunaga ; Yoshitaka Matsukawa ; Masao Komatsu
Author Index
Subject Index
Preface
Acknowledgments
Materials Research Society Symposium Proceedings
3.

図書

図書
editors, Andrew J. McKerrow ... [et al.]
出版情報: Warrendale, Pa. : Materials Research Society, c2003  xv, 515 p. ; 24 cm
シリーズ名: Materials Research Society symposium proceedings ; v. 766
所蔵情報: loading…
目次情報: 続きを見る
Preface
Materials Research Society Sy mposium Proceedings
Novel Interconnect Concepts
3D System Integration Technologies / Peter Ramm ; Armin Klumpp ; Reinhard Merkel ; Josef Weber ; Robert Wieland ; Andreas Ostmann ; Jurgen Wolf
Air Bridge Technology: A Comparison of Novel Interconnect Materials and Integration Schemes for Beyond 45 nm / Kenneth Foster ; Joost Waeterloos ; Don Frye ; Steve Froelicher ; Mike Mills
Wafer Thinning for Monolithic 3D Integration / A. Jindal ; J.-Q. Lu ; Y. Kwon ; G. Rajagopalan ; J.J. McMahon ; A.Y. Zeng ; H.K. Flesher ; T.S. Cale ; R.J. Gutmann
Dielectric Glue Wafer Bonding for 3D ICs
AlCu Pattern Generation on 3D Structured Wafer Using Multi Level Exposure Method on Electrodeposited Polymer Material / Vineet Sharma ; Arief B. Suriadi ; Frank Berauer ; Laurie S. Mittelstadt
Sequential Process Modeling for Determining Process-Induced Thermal Stress in Advanced Cu/Low-k Interconnects / Kwanho Yang ; Joost J. Waeterloos ; Jang-Hi Im ; Michael E. Mills
Interconnect Processing and Reliability
Some Aspects of the Materials Science of Low-k Integration / Vincent McGahay
Silicides for the 65 nm Technology Node / Paul R. Besser ; Simon Chan ; Eric Paton ; Thorsten Kammler ; David Brown ; Paul King ; Laura Pressley
Dual Damascene Process for Fat Wires in Copper/FSG Technology / J. Gambino ; T. Stamper ; H. Trombley ; S. Luce ; F. Allen ; C. Weinstein ; B. Reuter ; M. Dunbar ; V. Samek ; P. McLaughlin ; T. Kane
Adhesion Enhancement for Multiple Level Cu/SiLK Integration / X.T. Chen ; D. Lu ; Y.T. Tan ; Y.W. Chen ; P.D. Foo
Assessment of Reliability of Cap Layers Used in Cu-Black Diamond Interconnects / Ahila Krishnamoorthy ; N.Y. Huang ; Shu-Yunn Chong
A Study of Atomic Layer Deposition and Reactive Plasma Compatibility With Mesoporous Organosilicate Glass Films / E. Todd Ryan ; Melissa Freeman ; Lynne Svedberg ; J.J. Lee ; Todd Guenther ; Jim Connor ; Katie Yu ; Jianing Sun ; David W. Gidley
Electromigration
Impact of Low-k Dielectrics on Electromigration Reliability for Cu Interconnects / Paul S. Ho ; Ki-Don Lee ; Ennis T. Ogawa ; Sean Yoon ; Xia Lu
Quantitative Characterization of Dislocation Structure Coupled With Electromigration in a Passivated Al (0.5 wt% Cu) Interconnects / R.I. Barabash ; N. Tamura ; B.C. Valek ; R. Spolenak ; J.C. Bravman ; G.E. Ice ; J.R. Patel
Properties and Barrier Material Interactions of Electroless Copper Used for Seed Enhancement / C. Witt ; K. Pfeifer
Experimental Characterization of the Reliability of Multi-Terminal Dual-Damascene Copper Interconnect Trees / C.L. Gan ; C.V. Thompson ; K.L. Pey ; W.K. Choi ; C.W. Chang ; Q. Guo
Electromigration Study of Cu Dual-Damascene Interconnects with a CVD MSQ Low-k Dielectric / Hideki Matsuhashi ; Michael Lu ; Kai Zhang
Electromigration of Lower and Upper Cu Lines in Dual-Damascene Cu Interconnects / Guo Qiang ; Anand V. Vairagar ; Subodh Mhaisalkar
Comparison of the Time-Dependent Physical Processes in the Electromigration of Deep Submicron Copper and Aluminum Interconnects / G. Zhang ; C.M. Tan ; Z.H. Gan ; K. Prasad ; D.H. Zhang
Near-Threshold Electromigration of Damascene Copper on TiN Barrier / William K. Meyer ; Raj Solanki ; David Evans
Mechanical Properties of Thin Films
Interfacial Relationships in Microelectronic Devices / Michael Lane ; Robert Rosenberg
Indentation Fracture Toughness Measurements of Low Dielectric Constant Materials / Dylan J. Morris ; Robert F. Cook
Environmental Effects on Subcritical Delamination of Dielectric and Metal Films From Organosilicate Glass (OSG) Thin Films / Y. Lin ; J.J. Vlassak ; T.Y. Tsui ; A.J. McKerrow
Using Multi-Dimensional Contact Mechanics Experiments to Measure Poisson's Ratio of Porous Low-k Films / B.N. Lucas ; J.C. Hay ; W.C. Oliver
Quantitative Measurements of Subcritical Debonding of Cu Films From Glass Substrates / M. Pang ; S.P. Baker
Low-k Dielectrics
Advanced Characterization of Ultra-Low-k Periodic Porous Silica Films--Pore Size Distribution, Pore-Diameter Anisotropy, and Size and Macroscopic Isotropy of Domain Structure / N. Hata ; C. Negoro ; S. Takada ; K. Yamada ; Y. Oku ; T. Kikkawa
Characterization of Nanoporous Low-k Thin Films by Contrast Match SANS / Ronald C. Hedden ; Barry J. Bauer ; Hae-Jeong Lee
Determination of Pore Size Distributions in Nano-Porous Thin Films From Small Angle Scattering / Christopher L. Soles ; Da-Wei Liu
Development and Application of On-Wafer Small Angle X-ray Scattering for the Quantification of Pore Morphology in Low-k Porous SiLK Semiconductor Dielectrics / Brian Landes ; Brandon Kern ; Ted Stokich ; Jason Niu ; Dorie Yontz ; Mike Radler ; Carol Mohler|cKacee Ouellette ; Sebring Lucero ; Jerry Hahnfeld ; Danny King ; John Quintana ; Steve Weigand
Evaluation of Copper Ion Drift in Low-Dielectric-Constant Interlayer Films by Transient Capacitance Spectroscopy / Takenobu Yoshino ; Nobuhiro Hata ; Takamaro Kikkawa
Spectrum of Defect States in Porous Organic Low-k Dielectric Films, Annealed in Argon and Nitrogen / V. Ligatchev ; T.K.S. Wong ; T.K. Goh ; Rusli ; Suzhu Yu
Solvent Diffusion in Porous Low-k Dielectric Films / Denis Shamiryan ; Karen Maex
The Study of Modified Layers in SiCOH Dielectrics Using Spectroscopic Ellipsometry / Marcus A. Worsley ; Stacey F. Bent ; Stephen M. Gates ; Kaushik Kumar ; Timothy Dalton ; Jeffrey C. Hedrick
Structure and Properties of Polysilsesquioxanes and Copolymers for Ultra-Low Dielectric Films / Do Y. Yoon ; Hyun Wook Ro ; Eun Su Park ; Jin-Kyu Lee ; Hie-Joon Kim ; Kookheon Char ; Hee-Woo Rhee ; Dongil Kwon
Organofluorosilicate Glass (OFSG): A Dense Low-k Dielectric With Superior Materials Properties / M.L. O'Neill ; Y.L. Cheng ; A.S. Lukas ; Y.L. Wang ; E.J. Karwacki ; M.S. Feng ; R.N. Vrtis ; J.L. Vincent ; B.K. Peterson ; M.D. Bitner
Plasma Enhanced Chemical Vapor Deposition of Porous Organosilicate Glass ILD Films With k [less than or equal] 2.4 / Raymond N. Vrtis ; Mark L. O'Neill ; Jean L. Vincent ; Aaron S. Lukas ; Brian K. Peterson ; Mark D. Bitner ; Eugene J. Karwacki
Development of Porous SiLK Semiconductor Dielectric Resin for the 65 nm and 45 nm Nodes / R.J. Strittmatter ; J.L. Hahnfeld ; H.C. Silvis ; T.M. Stokich ; J.D. Perry ; K.B. Ouellette ; Q.J. Niu ; J.P. Godschalx ; T.H. Kalantar ; E. Mubarekyan ; R.E. Hefner Jr.|cJ.W. Lyons ; J.M. Dominowski ; G.R. Buske
Mechanical Properties of Organosilicon Thin Films Deposited From Cyclic and Acyclic Precursors Using Water as an Oxidant / Daniel D. Burkey ; Karen K. Gleason
Accelerated Oxidation of Hydrogen Silsesquioxane Thin Films Facilitated by an Organosilicone Resin Additive / Brian R. Harkness ; Ron Boisvert ; Qian Deng ; Ben Zhong ; David Gidley
New Nano-Porous Composite Films of Silsesquioxane Polymer and Silicalite-1 for Low Dielectric Applications / Ruo Qing Su ; Gabriela Zadrozna ; Thomas E. Muller ; Johannes A. Lercher
Creating Nanoporosity by Selective Extraction of Porogens Using Supercritical Carbon Dioxide/Cosolvent Processes / B. Lahlouh ; T. Rajagopalan ; J.A. Lubguban ; N. Biswas ; S. Gangopadhyay ; J. Sun ; D. Huang ; S.L. Simon ; H.C. Kim ; W. Volksen ; R.D. Miller
Supercritical Carbon Dioxide-Based Fluids Used as a Recovery Tool for Low-k Materials / Rosa A. Orozco-Teran ; Brian P. Gorman ; Zhengping Zhang ; Dennis W. Mueller ; Richard F. Reidy
Effects of Supercritical CO[subscript 2] Drying and Photoresist Strip on Low-k Films / R.F. Reidy ; R.A. Orozco-Teran ; B.P. Gorman ; D.W. Mueller
Nanoporous Silica Films Derived From Structural Controllable Poly(silsesquioxane) Oligomers by Templating / Wei-Chih Liu ; Yang-Yen Yu ; Wen-Chang Chen
The Characterization and Preparation of Porous Low Dielectric Films Using Various Cyclodextrins as Template Materials / Jin-Heong Yim ; Jung-Bae Kim ; Hyun-Dam Jeong ; Yi-Yeoul Lyu ; Sang Kook Mah ; Jingyu Hyeon-Lee ; Kwang Hee Lee ; Seok Chang ; Lyong Sun Pu ; Y.F. Hu ; J.N. Sun ; D.W. Gildley
Optimized Materials Properties for Organosilicate Glasses Produced by Plasma-Enhanced Chemical Vapor Deposition
Electrical, Mechanical, and Structural Properties of Fluoro-Containing Poly(silsesquioxanes) Based Porous Low-k Thin Films / Jihoon Rhee ; Jungbae Kim
Plasma Hydrogenation--A New Method of Reducing the k Value of the Low-k Polyimide Film / Yue Kuo ; Taewoo Chung ; Helinda Nominanda
Expanding Thermal Plasma for Low-k Dielectrics Deposition / M. Creatore ; Y. Barrell ; W.M.M. Kessels ; M.C.M. van de Sanden
Stress Stability of PECVD Silicon Nitride Films During Device Fabrication / Michael P. Hughey
Characterization of Boron Carbo-Nitride Films Deposited by Low Temperature Chemical Vapor Deposition / E.R. Engbrecht ; C.J. Cilino ; K.H. Junker ; Y-M. Sun ; J.M. White ; J.G. Ekerdt
MIM Capacitors With HfO[subscript 2] and HfAlO[subscript x] for Si RF and Analog Applications / Xiongfei Yu ; Chunxiang Zhu ; Hang Hu ; Albert Chin ; M.F. Li ; B.J. Cho ; Dim-Lee Kwong ; M.B. Yu
Material and Electrical Characterization of HfO[subscript 2] Films for MIM Capacitors Application / Y.F. Lu ; Y.H. Wu ; T. Liew ; N. Yakovlev
Metal Films
Observation of Grain Growth in Cu Films by In Situ EBSD Analysis / D.P. Field ; M.M. Nowell ; O.V. Kononenko
Impact of Annealing on the Resistivity of Ultrafine Cu Damascene Interconnects / G. Steinlesberger ; M. Engelhardt ; G. Schindler ; W. Steinhogl ; M. Traving ; W. Honlein ; E. Bertagnolli
AFM Studies of Deformation and Interfacial Sliding in Interconnect Structures in Microelectronic Devices / C. Park ; I. Dutta ; K.A. Peterson ; J. Vella
Effect of Barrier Layers on the Texture and Microstructure of Copper Films / Tejodher Muppidi ; David P. Field ; John E. Sanchez
Grain Boundary Characteristics and Stress-Induced Damage Morphologies in Sputtered and Electroplated Copper Films / Hyun Park ; Soo-Jung Hwang ; Kyu Hwan Oh ; Young-Chang Joo
High-Frequency Heterodyne Force Microscopy Investigations of Copper Interconnects / Yuegui Zheng ; Robert Geer
A Novel Technique to Re-Construct 3D Void in Passivated Metal Interconnects / Cher Ming Tan ; Zhenghao Gan ; Guan Zhang ; Krishnamachar Prasad ; Dao Hua Zhang
Characterization of Electroplated Copper Films With Laser-Generated Surface Acoustic Waves / A.A. Maznev ; M. Gostein ; S.H. Brongersma
Detection of Voids in Tungsten Interconnect Vias Using Laser-Induced Surface Acoustic Waves / Joshua Tower ; Michael Gostein ; Koichi Otsubo ; Atsushi Kawasaki
Copper Oxidation Studied by In Situ Raman Spectroscopy / Robert Schennach ; Andreas Gupper
The Integration of Plasma Enhanced Atomic Layer Deposition (PEALD) of Tantalum-Based Thin Films for Copper Diffusion Barrier Applications / Degang Cheng ; Eric T. Eisenbraun
Characterization of CVD Ti[subscript x]C[subscript y]N[subscript z] Films Deposited as Diffusion Barriers for Cu on Low-k Dielectrics Methylsilsequiazane / W.C. Gau ; P.T. Liu ; T.C. Chang ; L.J. Chen
Characterization of Atomic Layer Deposited WN[subscript x]C[subscript y] Thin Film as a Diffusion Barrier for Copper Metallization / Soo-Hyun Kim ; Su Suk Oh ; Hyun-Mi Kim ; Dae-Hwan Kang ; Ki-Bum Kim ; Wei-Min Li ; Suvi Haukka ; Marko Tuominen
Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners / Oscar van der Straten ; Yu Zhu ; Kathleen Dunn ; Alain Kaloyeros
Vapor Treatment of Copper Surface Using Organic Acids / Kenji Ishikawa ; Teruo Yagishita ; Moritaka Nakamura
Anisotropic Plasma Chemical Vapor Deposition of Copper Films in Trenches / Kosuke Takenaka ; Masao Onishi ; Manabu Takenshita ; Toshio Kinoshita ; Kazunori Koga ; Masaharu Shiratani ; Yukio Watanabe
Effect of Plasma Pre-Treatment on Dewetting Properties of CVD Cu on CVD W[subscript 2]N Barrier Layer / Guillermo Nuesca ; Eric Eisenbraun
The Correlation of Adhesion Strength With Barrier Structure in Cu Metallization / A. Sekiguchi ; J. Koike ; K. Ueoka ; J. Ye ; H. Okamura ; N. Otsuka ; S. Ogawa ; K. Maruyama
The Formation of Low Temperature Cu[subscript 3]Si in Ag(Cu)/Si Structure Upon Annealing and Its Effects on Adhesion and Resistivity / Sungjin Hong ; Seob Lee ; Yeonkyu Ko ; Jaegab Lee
Deposition and Characteristics of Tantalum Nitride Films by Plasma Assisted Atomic Layer Deposition as Cu Diffusion Barrier / Kyoung-Il Na ; Se-Jong Park ; Woo-Cheol Jeong ; Se-Hoon Kim ; Sung-Eun Boo ; Nam-Jin Bae ; Jung-Hee Lee
Enhanced Adhesion of Cu Film on a Low-k Material by Using Ti Glue Layer, B Dopant and N[subscript 2] Plasma Treatment / Y.K. Ko ; S. Lee ; H.J. Yang ; C. Shim ; D. Jung ; J.G. Lee
Reduced Time for Uniform Etching of Cu Power Planes During FIB Editing / V.V. Makarov ; W.B. Thompson ; T.R. Lundquist
Author Index
Subject Index
Preface
Materials Research Society Sy mposium Proceedings
Novel Interconnect Concepts
文献の複写および貸借の依頼を行う
 文献複写・貸借依頼