close
1.

図書

図書
sponsored by IEEE Computer Society, IEEE Computer Society Technical Committee on Fault-Tolerant Computing, IEEE Computer Society Test Technology Technical Council
出版情報: Los Alamitos, CA. ; Tokyo : IEEE Computer Society, c1998  xiii, 405 p. ; 23 cm
所蔵情報: loading…
2.

図書

図書
[sponsored by the IEEE Computer Society Test Technology Technical Council, the IEEE Computer Society Technical Committee on Fault-Tolerant Computing]
出版情報: Los Alamitos, Calif. : IEEE Computer Society, c2002  xiii, 441 p. ; 23 cm
所蔵情報: loading…
目次情報: 続きを見る
Message from the Symposium Chairs
Organizing Committee
Program Committee
Yield I / Session 1:
Manufacturability Analysis of Analog CMOS ICs Through Examination of Multiple Layout Solutions / P. Khademsameni ; M. Syrzycki
Effect of Static Power Dissipation in Burn-In Environment on Yield of VLSI / A. Vassighi ; O. Semenov ; M. Sachdev ; A. Keshavarzi
Yield Estimates for the TESH Multicomputer Network / B. M. Maziarz ; V. K. Jain
Crosstalk Faults / Session 2:
A Simplified Gate-Level Fault Model for Crosstalk Effects Analysis / P. Civera ; L. Macchiarulo ; M. Violante
A Test-Vector Generation Methodology for Crosstalk Noise Faults / H. Hashempour ; Y.-B. Kim ; N. Park
Self-Checking and ABFT / Session 3:
A Parity Code Based Fault Detection for an Implementation of the Advanced Encryption Standard / G. Bertoni ; L. Breveglieri ; I. Koren ; P. Maistri ; V. Piuri
Designing Self-Checking FPGAs Through Error Detection Codes / C. Bolchini ; F. Salice ; D. Sciuto
Self-Checking 1-out-of-n CMOS Current-Mode Checker / J. Mathew ; E. Dubrova
Partially Duplicated Code-Disjoint Carry-Skip Adder / D. Marienfeld ; V. Ocheretnij ; M. Gossel ; E. S. Sogomonyan
Input Ordering in Concurrent Checkers to Reduce Power Consumption / K. Mohanram ; N. A. Touba
Fault Simulation and Injection I / Session 4:
New Methods for Evaluating the Impact of Single Event Transients in VDSM ICs / D. Alexandrescu ; L. Anghel ; M. Nicolaidis
Injecting Bit Flip Faults by Means of a Purely Software Approach: A Case Studied / R. Velazco ; A. Corominas ; P. Ferreyra
Gate-Delay Fault Diagnosis Using the Inject-and-Evaluate Paradigm / H.-B. Wang ; S.-Y. Huang ; J.-R. Huang
Scan Design / Session 5:
Scan Architecture for Shift and Capture Cycle Power Reduction / P. M. Rosinger ; B. M. Al-Hashimi ; N. Nicolici
Inserting Test Points to Control Peak Power During Scan Testing / R. Sankaralingam
Adaptable Voltage Scan Testing of Charge-Sharing Faults for Domino Circuits / C.-H. Cheng
Test Application / Session 6:
Matrix-Based Test Vector Decompression Using an Embedded Processor / K. J. Balakrishnan
Data Compression for System-on-Chip Testing Using ATE / F. Karimi ; W. Meleis ; Z. Navabi ; F. Lombardi
Test Generation / Session 7:
Fortuitous Detection and Its Impact on Test Set Sizes Using Stuck-At and Transition Faults / J. Dworak ; J. Wingfield ; B. Cobb ; S. Lee ; L.-C. Wang ; M. R. Mercer
Test Time Reduction in a Manufacturing Environment by Combining BIST and ATE / F. J. Meyer
Testing Digital Circuits with Constraints / A. A. Al-Yamani ; S. Mitra ; E. J. McCluskey
Concurrent Error Detection / Session 8:
On-Line Testing of Transient Faults Affecting Functional Blocks of FCMOS, Domino and FPGA-Implemented Self-Checking Circuits / C. Metra ; S. Di Francescantonio ; G. Marrale
Self-Checking and Fault Tolerance Quality Assessment Using Fault Sampling / F. M. Goncalves ; M. B. Santos ; I. C. Teixeira ; J. P. Teixeira
A Memory Overhead Evaluation of the Interleaved Signature Instruction Stream / F. Rodriguez ; J. C. Campelo ; J. J. Serrano
Fault-Tolerant CAM Architectures: A Design Framework / M. G. Sami ; R. Stefanelli
Fault Simulation and Injection II / Session 9:
Using Run-Time Reconfiguration for Fault Injection in Hardware Prototypes / L. Antoni ; R. Leveugle ; B. Feher
A Fault Hypothesis Study on the TTP/C Using VHDL-Based and Pin-Level Fault Injection Techniques / S. Blanc ; J. Gracia ; P. J. Gil
Fault List Compaction Through Static Timing Analysis for Efficient Fault Injection Experiments / M. Sonza Reorda
Interconnect / Session 10:
Performance of Deadlock-Free Adaptive Routing for Hierarchical Interconnection Network TESH / S. Horiguchi ; Y. Miura
Modeling of FPGA Local/Global Interconnect Resources and Derivation of Minimal Test Configurations / X. Sun ; A. Alimohammad ; P. Trouborst
Testing Layered Interconnection Networks
Yield II / Session 11:
Repair Yield Simulation with Iterative Critical Area Analysis for Different Types of Failure / Y. Hamamura ; K. Nemoto ; T. Kumazawa ; H. Iwata ; K. Okuyama ; S. Kamohara ; A. Sugimoto
Yield Modeling of a WSI Telcom Router Architecture / B. Qiu ; Y. Savaria ; M. Lu ; C. Wang ; C. Thibeault
System-on-Chip Test / Session 12:
Fast and Energy-Frugal Deterministic Test Through Test Vector Correlation Exploitation / O. Sinanoglu ; A. Orailoglu
Adaptive Test Scheduling in SoC's by Dynamic Partitioning / D. Zhao ; S. Upadhyaya
Feasibility of CED / Session 13:
Duplication-Based Concurrent Error Detection in Asynchronous Circuits: Shortcomings and Remedies / T. Verdel ; Y. Makris
Feasibility Study of Designing TSC Sequential Circuits with 100% Fault Coverage / S. J. Piestrak
Test / Session 14:
Emulation-Based Design Errors Identification / A. CasteInuovo ; A. Fin ; F. Fummi ; F. Sforza
A New Functional Fault Model for FPGA Application-Oriented Testing / M. Rebaudengo
Neighbor Current Ratio (NCR): A New Metric for I[subscript DDQ] Data Analysis / S. S. Sabade ; D. M. H. Walker
CMOS Standard Cells Characterization for I[subscript DDQ] Testing / W. A. Pleskacz ; T. Borejko ; W. Kuzmicz
On-Chip Jitter Measurement for Phase Locked Loops / T. Xia ; J.-C. Lo
Neural Networks-Based Parametric Testing of Analog IC / V. Stopjakova ; D. Micusik ; L. Benuskova ; M. Margala
Reliable and Repairable Memories / Session 15:
Balanced Redundancy Utilization in Embedded Memory Cores for Dependable Systems / M. Choi ; Y. B. Kim
Repairability Evaluation of Embedded Multiple Region DRAMs / Y. Chang
Author Index
TTTC Information
Message from the Symposium Chairs
Organizing Committee
Program Committee
3.

図書

図書
[edited by C. Bolchini ... [et al.] ] ; sponsored by the Counci the IEEE Computer Society Technical Committee on Fault-Tolerant Computing, IEEE Computer Society Test Technology Technicall
出版情報: Los Alamitos, Calif. : IEEE Computer Society, c2003  xii, 607 p. ; 23 cm
所蔵情報: loading…
目次情報: 続きを見る
Message from the Symposium Chairs
Committees
Yield and Defects / Session 1:
Yield Analysis of Compiler-Based Arrays of Embedded SRAMs / X. Wang ; M. Ottavi ; F. Lombardi
Reliability Estimation Model of IC's Interconnect Based on Uniform Distribution of Defects on a Chip / T. Zhao ; X. Duan ; Y. Hao
IEEE 1149.1 Based Defect and Fault Tolerant Scan Chain for Wafer Scale Integration / M. Lu ; Y. Savaria ; B. Qiu ; J. Taillefer
Calibration of Open Interconnect Yield Models / D. de Vries ; P. Simon
Yield Modeling and Analysis of a Clockless Asynchronous Wave Pipeline with Pulse Faults / T. Feng ; N. Park ; Y. Kim ; V. Piuri
Optoelectronics / Session 2:
Level-Hybrid Optoelectronic TESH Interconnection Network / V. Jain ; G. Chapman
Implementation and Testing of Fault-Tolerant Photodiode-Based Active Pixel Sensor (APS) / S. Djaja ; D. Cheung ; Y. Audet
Fault Analysis, Injection & Simulation / Session 3:
Clock Calibration Faults and Their Impact on Quality of High Performance Microprocessors / C. Metra ; T. Mak ; D. Rossi
A Tool for Injecting SEU-Like Faults into the Configuration Control Mechanism of Xilinx Virtex FPGAs / M. Alderighi ; F. Casini ; S. D'Angelo ; M. Mancini ; A. Marmo ; S. Pastore ; G. Sechi
CodSim--A Combined Delay Fault Simulator / W. Qiu ; X. Lu ; Z. Li ; D. Walker ; W. Shi
Test & Diagnosis / Session 4:
BIST Based Fault Diagnosis Using Ambiguous Test Set / H. Takahashi ; Y. Tsugaoka ; H. Ayano ; Y. Takamatsu
On the Test and Diagnosis of the Perfect Shuffle / L. Schiano
Detecting and Locating Faults in VLSI Implementations of the Advanced Encryption Standard / G. Bertoni ; L. Breveglieri ; I. Koren ; P. Maistri
Current Test & Diagnosis / Session 5:
3DSDM: A 3 Data-Source Diagnostic Method / Y. Hariri ; C. Thibeault
Power Supply Current Test Approach for Resistive Fault Screening in Embedded Analog Circuits / M. Dragic ; M. Margala
CROWNE: Current Ratio Outliers with Neighbor Estimator / S. Sabade
Chip Level Power Supply Partitioning for I[subscript DDQ] Testing Using Built-In Current Sensors / A. Prasad
Test Generation & Application / Session 6:
ATE-Amenable Test Data Compression with No Cyclic Scan Registers / H. Hashempour
A Digital and Wide Power Bandwidth H-Field Generator for Automatic Test Equipment / F. Zhang ; Y. Lee ; T. Kane ; M. Momenzadeh ; Y.-B. Kim ; F. Meyer ; S. Max ; P. Perkinson
Function-Based Dynamic Compaction and Its Impact on Test Set Sizes / J. Wingfield ; J. Dworak ; M. Mercer
Constrained ATPG for Broadside Transition Testing / X. Liu ; M. Hsiao
Scan Design & Test / Session 7:
Test Compaction by Using Linear-Matrix Driven Scan Chains / S. Bhatia
Multiple Scan Chain Design Technique for Power Reduction during Test Application in BIST / D. Ghosh ; S. Bhunia ; K. Roy
Design Scan Test Strategy for Single Phase Dynamic Circuits / C.-H. Cheng
BIST / Session 8:
Scan-Based BIST Diagnosis Using an Embedded Processor / K. Balakrishnan ; N. Touba
Hybrid BIST Using an Incrementally Guided LFSR / C. Krishna
Hybrid BIST Time Minimization for Core-Based Systems with STUMPS Architecture / G. Jervan ; P. Eles ; Z. Peng ; R. Ubar ; M. Jenihhin
Error Correcting Codes / Session 9:
A Single Error Correcting and Double Error Detecting Coding Scheme for Computer Memory Systems / P. Lala
Array Codes Correcting a Cluster of Unidirectional Errors for Two-Dimensional Matrix Symbols / H. Kaneko ; E. Fujiwara
Quadruple Time Redundancy Adders / W. Townsend ; J. Abraham ; E. Swartzlander, Jr.
Error Correcting Codes for Crosstalk Effect Minimization / S. Cavallotti
Invited Talk
A View from the Bottom: Nanometer Technology AC Parametric Failures--Why, Where, and How to Detect / C. Hawkins ; A. Keshavarzi ; J. Segura
Analogue & Mixed Signal Test / Session 10:
Analysis and Testing of Analog and Mixed-Signal Circuits by an Operation-Region Model: A Case Study of Application and Implementation / Y. Miura ; D. Kato
An Approach for Selection of Test Points for Analog Fault Diagnosis / K. Pinjala ; B. Kim
BiST Model for IC RF-Transceiver Front-End / J. Dabrowski
A Monolithic Spectral BIST Technique for Control or Test of Analog or Mixed-Signal Circuits / J. Emmert ; J. Cheatham ; B. Jagannathan ; S. Umarani
Defect Tolerance and Testing / Session 11:
Thermal Management of High Performance Microprocessors in Burn-In Environment / A. Vassighi ; O. Semenov ; M. Sachdev
Fault Recovery Based on Checkpointing for Hard Real-Time Embedded Systems / Y. Zhang ; K. Chakrabarty
Fault Tolerant Multi-layer Neural Networks with GA Training / E. Sugawara ; M. Fukushi ; S. Horiguchi
Detailed Comparison of Dependability Analyses Performed at RT and Gate Levels / A. Ammari ; R. Leveugle ; M. Sonza-Reorda ; M. Violante
Low Cost Convolutional Code Based Concurrent Error Detection in FSMs / K. Rokas ; Y. Makris ; D. Gizopoulos
Reducing Test Power, Time and Data Volume in SoC Testing Using Selective Trigger Scan Architecture / S. Sharifi ; M. Hosseinabadi ; P. Riahi ; Z. Navabi
An FFT Approximation Technique Suitable for On-Chip Generation and Analysis of Sinusoidal Signals
Fault Tolerant Hopfield Associative Memory on Torus / R. Ayoubi ; H. Ziade ; M. Bayoumi
Efficiency of Transient Bit-Flips Detection by Software Means: A Complete Study / B. Nicolescu ; P. Peronnard ; R. Velazco
Buffer and Controller Minimisation for Time-Constrained Testing of System-On-Chip / A. Larsson ; E. Larsson
Regressive Testing for System-on-Chip with Unknown-Good-Yield / N.-J. Park ; B. Jin ; K. George ; M. Choi
Error Detection in Signed Digit Arithmetic Circuit with Parity Checker / G. Cardarilli ; S. Pontarelli ; M. Re ; A. Salsano
Application-Dependent Testing of FPGA Interconnects / M. Tahoori
Automatic Modification of Sequential Circuits for Self-Checking Implementation / S. Di Francescantonio ; M. Omana
Control Constrained Resource Partitioning for Complex SoCs / D. Zhao ; S. Upadhyaya
Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits / K. Mohanram
FPGA & Memory Test / Session 12:
An Integrated Design Approach for Self-Checking FPGAs / C. Bolchini ; F. Salice ; D. Sciuto ; R. Zavaglia
Power-Constrained Embedded Memory BIST Architecture / B. Fang ; N. Nicolici
A Memory Built-In Self-Repair for High Defect Densities Based on Error Polarities / M. Nicolaidis ; N. Achouri ; L. Anghel
Redundancy, Repair, and Test Features of a 90nm Embedded SRAM Generator / R. Aitken ; N. Dogra ; D. Gandhi ; S. Becker
An Efficient Functional Test for the Massively-Parallel C-RAM Logic-Enhanced Memory Architecture / X. Sun ; B. Cockburn ; D. Elliott
Design Verification & Synthesis / Session 13:
Dependability Analysis Using a Fault Injection Tool Based on Synthesizability of HDL Models / H. Zarandi ; S. Miremadi ; A. Ejlali
Preliminary Validation of an Approach Dealing with Processor Obsolescence / S. Saleh ; S. Deswaertes ; A. El Moucary
SoC & Core Test / Session 14:
Efficient Test Data Decompression for System-on-a-Chip Using an Embedded FPGA Core / G. Zeng ; H. Ito
A Unified SoC Test Approach Based on Test Data Compression and TAM Design / V. Iyengar ; A. Chandra
Embedded Compact Deterministic Test for IP-Protected Cores / A. Kinsman ; J. Hewitt
System Reliability / Session 15:
System-Level Analysis of Fault Effects in Automotive Environment / F. Corno ; S. Tosato ; P. Gabrielli
Dependability Analysis of CAN Networks: An Emulation-Based Approach / J. Perez ; M. Sonza Reorda
Fault Tolerance / Session 16:
Exploiting Instruction Redundancy for Transient Fault Tolerance / T. Sato
An Integrated Fault-Tolerant Design Framework for VLIW Processors / Y.-Y. Chen ; S.-J. Horng ; H.-C. Lai
Fault Tolerant Design of Combinational and Sequential Logic Based on a Parity Check Code / S. Almukhaizim
Heterogeneous Redundancy for Fault and Defect Tolerance with Complexity Independent Area Overhead / V. Kumar ; J. Lach
Soft Errors / Session 17:
Soft-Error Detection Using Control Flow Assertions / O. Goloubeva ; M. Rebaudengo
SIED: Software Implemented Error Detection
Techniques for Transient Fault Sensitivity Analysis and Reduction in VLSI Circuits / A. Maheshwari ; W. Burleson
Author Index
Message from the Symposium Chairs
Committees
Yield and Defects / Session 1:
4.

図書

図書
sponsored by IEEE Computer Society, IEEE Computer Society Technical Committee on Fault-Tolerant Computing, IEEE Computer Society Test Technology Technical Committee ; in cooperation with Technical Group on Fault Torerant Systems, IEICE, Japan
出版情報: Los Alamitos, CA. : IEEE Computer Society, c2000  xii, 422 p. ; 23 cm
所蔵情報: loading…
目次情報: 続きを見る
Message from the Symposium Chairpersons
Organizing Committee
Yield Analysis and Modeling / Session 1:
The Effect of Placement on Yield for Standard Cell Designs / R. Prasad ; I. Koren
IC Critical Volume Calculation through Ray-Casting of CSG Trees / M. Moran ; G. Allan
A New Defect Outline Model Used for Critical Area Estimation in VLSI / X. Jiang ; Y. Hao ; S. Horiguchi
Predicting the Yield Efficacy of a Defect-Tolerant Embedded Core / F. Meyer ; N. Park
Yield Enhancement Techniques / Session 2:
VLSI Yield Optimization Based on the Sub-Processing-Element Level Redundancy / T. Zhao ; Y. Jiao
Quality-Effective Repair of Multichip Module Systems / F. Lombardi
A Self-Correcting Active Pixel Camera / G. Chapman ; Z. Koren
Wafer Scale/Large Area Systems / Session 3:
Introduction to Special Session
A High Speed and High Fault Tolerant Reconfigurable Reasoning System: Toward a Wafer Scale Reconfigurable Reasoning LSI / M. Yasunaga ; I. Yoshihara ; J. Kim
Self-Configuration of a Large Area Integrated Multiprocessor System for Video Applications / M. Rudack ; M. Redeker ; D. Treytnar ; O. Mende ; K. Herrmann
A Reconfigurable WSI Massively Data-Parallel Processing Device for Cost-Effective 3D Sensor Data Processing / R. Lea ; P. Tetnowski ; M. Covic
Optimization of Wafer Scale H-Tree Clock Distribution Network Based on a New Statistical Skew Model
Implementation of a Multiprocessor System with Distributed Embedded DRAM on a Large Area Integrated Circuit / S. Moch ; J. Hilgenstock ; P. Pirsch
A Multifunctional Laser Linking and Cutting Structure for Standard 0.25 [mu]m CMOS-Technology
Fault-Tolerant Interconnections / Session 4:
On the Complexity of Switch Programming in Fault-Tolerant Configurable Chips / W. Shi ; K. Kumar
Design of Switching Blocks Tolerating Defects/Faults in FPGA Interconnection Resources / A. Doumar ; H. Ito
Design of a Fault Tolerant Multistage Interconnection Network with Parallel Duplicated Switches / N. Kamiura ; T. Kodera ; N. Matsui
Fault-Tolerant Systems / Session 5:
Achieving Fault-Tolerance by Shifted and Rotated Operands in TMR Non-Diverse ALUs / M. Alderighi ; S. D'Angelo ; C. Metra ; G. Sechi
Fault-Tolerant High-Performance Cordic Processors / J.-H. Kwak ; V. Piuri ; E. Swartzlander, Jr.
A Fault-Tolerant 176 Gbit Solid State Mass Memory Architecture / G. Cardarilli ; P. Marinucci ; M. Ottavi ; A. Salsano
Error Coding / Session 6:
Evaluations of Burst Error Recovery for VF Arithmetic Coding / M. Kitakami ; H. Chen ; E. Fujiwara
Single Byte Error Control Codes with Double Bit within a Block Error Correcting Capability for Semiconductor Memory Systems / G. Umanesan
Efficient Error Correction Code Configurations for Quasi-Nonvolatile Data Retention by DRAMs / Y. Katayama ; Y. Negishi ; S. Morioka
Reconfiguration and Repair / Session 7:
Built-In Self-Reconfiguring Systems for Mesh-Connected Processor Arrays with Spares on Two Rows/Columns / I. Takanami
Fault-Tolerant Ring-and Toroidal Mesh-Connected Processor Arrays Able to Enhance Emulation of Hypercubes / N. Tsuda
Self-Repairing in a Micro-Programmed Processor for Dependable Applications / A. Benso ; S. Chiusano ; P. Prinetto ; P. Simonotti ; G. Ugo
Self-Reconfigurable Mesh Array System on FPGA / M. Fukushi
Online Testing / Session 8:
How Does Resource Utilization Affect Fault Tolerance? / C. Scherrer ; A. Steininger
An Experimental Evaluation of the Effectiveness of Automatic Rule-Based Transformations for Safety-Critical Applications / M. Rebaudengo ; M. Reorda ; M. Torchiano ; M. Violante
Synthesis of On-Line Testing Control Units: Flow Graph Coding/Monitoring Approach / S. Demidenko ; E. Levine
An On-Line Reconfigurable FPGA Architecture / P. Lala ; A. Walker
Built-In Self-Test / Session 9:
Test Cost Minimization for Hybrid BIST / G. Jervan ; Z. Peng ; R. Ubar
BIST Architectures Selection Based on Behavioral Testing / G. Biasoli ; F. Ferrandi ; A. Fin ; F. Fummi ; D. Sciuto
Brains: A BIST Compiler for Embedded Memories / C. Cheng ; C.-T. Huang ; J.-R. Huang ; C.-W. Wu ; C.-J. Wey ; M.-C. Tsai
Testing Strategies / Session 10:
Testing the Configurability of Dynamic FPGAs / S. Ruiwale
Design for Testability to Achieve High Test Coverage--A Case Study / S. Mukherjee
Low-Speed Scan Testing of Charge-Sharing Faults for CMOS Domino Circuits / C. Chen ; W. Jone ; J. Wang ; S. Chang
Path Delay Fault Testability Analysis / J. Sosnowski ; T. Wabia ; T. Bech
I[subscript DDQ] Testing / Session 11:
Threshold Voltage and Power-Supply Tolerance of CMOS Logic Design Families / M. Kishor ; J. de Gyvez
A New Light-Based Logic IC Screening Method / S. Ohnishi ; M. Nishihara
Testability Analysis of I[subscript DDQ] Testing with Large Threshold Value / M. Hashizume ; H. Yotsuyanagi ; T. Tamesada ; M. Takeda
The 2nd Order Analysis of I[subscript DDQ] Test Data / S. Li ; K. Zhang ; J.-C. Lo
Fault Injection / Session 12:
"BOND": An Interposition Agents Based Fault Injector for Windows NT / A. Baldini
A Prototype of a VHDL-Based Fault Injection Tool / J. Baraza ; J. Gracia ; D. Gil ; P. Gil
Using Run-Time Reconfiguration for Fault Injection in Hardware Prototypes / L. Antoni ; R. Leveugle ; B. Feher
Fault Injection in VHDL Descriptions and Emulation
Author Index
Message from the Symposium Chairpersons
Organizing Committee
Yield Analysis and Modeling / Session 1:
5.

図書

図書
sponsored by IEEE Computer Society Technical Committee on Fault-Tolerant Computing, IEEE Computer Society Test Technology Technical Council
出版情報: Los Alamitos, Calif. : IEEE Computer Society, c2001  xiii, 468 p. ; 23 cm
所蔵情報: loading…
6.

図書

図書
edited by Robert Aitken ... [et al.] ; sponsored by the IEEE Computer Society Test Technology Technical Council (TTTC), the IEEE Computer Society Technical Committee on Fault-Tolerant Computing(TCFTC)
出版情報: Los Alamitos, Calif. : IEEE Computer Society, c2005  xii, 602 p. ; 23 cm
所蔵情報: loading…
7.

図書

図書
[edited by R. Aitken, ... [et al.] ] ; sponsored by IEEE Computer Society Technical Committee on Fault-Tolerant Computing (TCFTC), IEEE Computer Society Test Technology Technical Council (TTTC)
出版情報: Los Alamitos, Calif. : IEEE Computer Society, c2004  xii, 506 p. ; 23 cm
所蔵情報: loading…
目次情報: 続きを見る
Message from the Symposium Chairs
Committees
Yield and Defects I / Session 1:
Reliability and Yield: A Joint Defect-Oriented Approach / R. Barsky ; I. Wagner
On the Yield of Compiler-Based eSRAMS / X. Wang ; M. Ottavi ; F. Lombardi
Failure Factor Based Yield Enhancement for SRAM Designs / Y.-T. Hsing ; C.-W. Wang ; C. Tsun ; C.-W. Wu
Yield and Defects II / Session 2:
Defect Characterization for Scaling of QCA Devices / J. Huang ; M. Momenzadeh ; M. Tahoori
A Highly Fault Tolerant PLA Architecture for Failure-Prone Nanometer CMOS and Novel Quantum Device Technologies / A. Schmid ; Y. Leblebici
Probabilistic Balancing of Fault Coverage and Test Cost in Combined Built-In Self-Test/Automated Test Equipment Testing Environment / S. Zhang ; M. Choi ; N. Park
Optoelectronics / Session 3:
Characteristics of Fault-Tolerant Photodiode and Photogate Active Pixel Sensors (APS) / M. La Haye ; g. Chapman ; C. Jung ; D. Cheung ; S. Djaja ; B. Wang ; G. Liaw ; Y. Audet
Defect Avoidance in a 3-D Heterogeneous Sensor / G. Chapman ; V. Jain ; S. Bhansali
Defect and Fault Tolerance / Session 4:
Co-Design and Refinement for Safety Critical Systems / A. Aljer ; P. Devienne
Noise Effects on Performance of Low Power Design Schemes in Deep Submicron Regime / M. Abbas ; M. Ikeda ; K. Asada
On the Defect Tolerance of Nano-Scale Two-Dimensional Crossbars
Memory Test / Session 5:
Monitoring Methodology for TID Damaging of SDRAM Devices Based on Retention Time Analysis / S. Bertazzoni ; D. Di Giovenale ; M. Salmeri ; A. Mencattini ; A. Salsano ; M. Florean ; J. Wyss ; R. Rando ; S. Lora
Testing of Inter-Word Coupling Faults in Word-Oriented SRAMs
Designs for Reducing Test Time of Distributed Small Embedded SRAMs / Y. Wu ; A. Ivanov
Diagnosis / Session 6:
An Efficient Hardware-Based Fault Diagnosis Scheme for AES: Performances and Cost / G. Bertoni ; L. Breveglieri ; I. Koren ; P. Maistri
A Fading Algorithm for Sequential Fault Diagnosis / S.-Y. Huang
Error Correcting Codes / Session 7:
Compression of VLSI Test Data by Arithmetic Coding / H. Hashempour
Data Integrity Evaluations of Reed Solomon Codes for Storage Systems / G. Cardarilli ; S. Pontarelli ; M. Re
An XOR-Based Reed-Solomon Algorithm for Advanced RAID Systems / P.-H. Hsieh ; I.-Y. Chen ; Y.-T. Lin ; S.-Y. Kuo
Interconnect Faults / Session 8:
Modeling and Analysis of Crosstalk Coupling Effect on the Victim Interconnect Using the ABCD Network Model / A. Palit ; V. Meyer ; W. Anheier ; J. Schloeffel
Reducing Fault Latency in Concurrent On-line Testing by Using Checking Functions over Internal Lines / I. Pomeranz ; S. Reddy
"Victim Gate" Crosstalk Fault Model / M. Favalli
RF and High Speed Circuits / Session 9:
Fast and Low-Cost Clock Deskew Buffer / M. Omana ; D. Rossi ; C. Metra
Dynamic Input Match Correction in RF Low Noise Amplifiers / T. Das ; A. Gopalan ; C. Washburn ; P. Mukund
Mixed Loopback BiST for RF Digital Transceivers / J. Dabrowski ; J. Bayon
Analog Testing / Session 10:
Fault Diagnosis in Analog Circuits by Operation-Region Model and X-Y Zoning Method / Y. Miura
Robust Low-Cost Analog Signal Acquisition with Self-Test Capabilities / A. de Souza ; L. Carro
Interactive Session / Session 11:
Coupling Different Methodologies to Validate Obsolete Microprocessors / L. Anghel ; E. Sanchez ; M. Reorda ; G. Squillero ; R. Velazco
A New Approach to Linear Connections Building BIST Structure Based on CSTP Structure / I. Gosciniak
Transient Current Testing for Dynamic CMOS Circuits / N. Aaraj ; A. Nazer ; A. Chehab ; A. Kayssi
IC HTOL Test Stress Condition Optimization / B. Peng ; C. Bolger
Testing and Defect Tolerance: A Rent's Rule Based Analysis and Implications on Nanoelectronics / A. Kumar ; S. Tiwari
Arithmetic Operators Robust to Multiple Simultaneous Upsets / C. Lisboa
Response Compaction for Test Time and Test Pins Reduction Based on Advanced Convolutional Codes / Y. Han ; Y. Hu ; H. Li ; X. Li ; A. Chandra
An Efficient Perfect Algorithm for Memory Repair Problems / H.-Y. Lin ; F.-M. Yeh
First Level Hold: A Novel Low-Overhead Delay Fault / S. Bhunia ; H. Mahmoodi ; A. Raychowdhury ; K. Roy
Error-Resilient Test Data Compression Using Tunstall Codes / L. Schiano
Online Testable Reversible Logic Circuit Design Using NAND Blocks / D. Vasudevan ; P. Lala ; J. Parkerson
Toggle-Masking for Test-per-Scan VLSI Circuits / N. Parimi ; X. Sun
Learning Based on Fault Injection and Weight Restriction for Fault-Tolerant Hopfield Neural Networks / N. Kamiura ; T. Isokawa ; N. Matsui
Nonvolatile Repair Caches Repair Embedded SRAM and New Nonvolatile Memories / J. Fong ; R. Acklin ; J. Roscher ; F. Li ; C. Laird ; C. Pietrzyk
Modeling Yield of Carbon-Nanotube/Silicon-Nanowire FET-Based Nanoarray Architecture with h-hot Addressing Scheme
Error Detection and Correction / Session 12:
Annotated Bit-Flip Fault Model
Accurate Estimation of Soft Error Rate (SER) in VLSI Circuits / A. Maheshwari ; W. Burleson
At-Speed Functional Verification of Programmable Devices / N. Bombieri ; F. Fummi ; G. Pravadelli
Incorporating Signature-Monitoring Technique in VLIW Processors / Y.-Y. Chen ; K.-F. Chen
System-on-Chip Test / Session 13:
Exploiting an I-IP for In-field SOC Test / P. Bernardi ; M. Rebaudengo
Non-Intrusive Test Compression for SOC Using Embedded FPGA Core / G. Zeng ; H. Ito
Circuit and System Reliability and Dependability / Session 14:
On-Line Analysis and Perturbation of CAN Networks / M. Violante
Reliable System Co-design: The FIR Case Study / C. Bolchini ; A. Miele ; F. Salice ; D. Sciuto ; L. Pomante
Reliability Modeling and Assurance of Clockless Wave Pipeline / T. Feng ; Y. Kim ; F. Meyer
System-Level Dependability Analysis with RT-Level Fault Injection Accuracy / R. Leveugle ; D. Cimonnet ; A. Ammari
Novel Test Approaches / Session 15:
A Preliminary Investigation of Observation Diversity for Enhancing Fortuitous Detection of Defects / J. Dworak ; J. Wingfield ; M. Mercer
Concurrent On-line Testing of Identical Circuits through Output Comparison Using Non-Identical Input Vectors
FPGA and Reconfigurable Circuits / Session 16:
An Application-Independent Delay Testing Methodology for Island-Style FPGA / Y.-L. Peng ; J.-J. Liou ; C.-T. Huang
Concurrent Error Detection in Sequential Circuits Implemented Using Embedded Memory of LUT-Based FPGAs / A. Krasniewski
Reconfiguration Algorithm for Degradable Processor Arrays Based on Row and Column Rerouting / M. Fukushi ; S. Horiguchi
Author Index
Message from the Symposium Chairs
Committees
Yield and Defects I / Session 1:
文献の複写および貸借の依頼を行う
 文献複写・貸借依頼